通信人家园

标题: 一些通信相关专业的论文  [查看完整版帖子] [打印本页]

时间:  2006-6-25 20:30
作者: qqqq     标题: 一些通信相关专业的论文

随便贴几篇,没毕业的可以借鉴。但不要抄袭
时间:  2006-6-25 20:31
作者: qqqq

无线通信技术热点及发展趋势
[摘要]由于无线通信网络存在的带宽需求和移动网络带宽不足的矛盾,用户地域分布和对应用需求不平衡的矛盾以及不同技术优势和不足共存的矛盾,因此,决定了发展无线通信网络需要综合运用各种技术手段,从全局和长远的眼光出发,采取一体化的思路规划和建设网络。发挥不同技术的个性,综合布局,解决不同区域、不同用户群对带宽及业务的不同需求,达成无线通信网络的整体优势和综合能力。对此,我国政府管理部门也应该积极为运营商配备充足的频谱资源,为其综合规划提供有力的支撑和保障。  

  (正文)一、全球趋势:公众移动保持增长 宽带无线热点不断  
    
  当今,全球无线通信产业的两个突出特点体现在:一是公众移动通信保持增长态势,一些国家和地区增势强劲,但存在发展不均衡的现象;二是宽带无线通信技术热点不断,研究和应用十分活跃。  
    
  资料显示,在全球电信市场普遍低调的背景下,移动通信依然保持了较好的增长态势。统计显示,2003年全球移动用户数增长率在17%以上,总计达到13.54亿户。在市场值方面,全球移动业务市场在2003年已达到4680亿欧元,比上年增长了11.3%以上。  
    
  尽管全球移动市场在增长,但这种增长也呈现出很大的不均衡性。从用户数来看,在北美、欧洲等发达国家和地区,由于移动用户普及率已经很高,因此新增用户数日益减少;而在亚洲、非洲等地区,特别是像中国这样的发展中国家,移动用户数增长迅猛。从用户创造的价值来看,欧美发达国家的ARPU值远远超过了新兴的发展中国家。从数据新业务市场的增长来看,韩国、日本呈现爆发态势,已成为全球移动通信发展的新热点。  
    
  目前,我国的移动通信市场呈现持续快速增长的局面,截至4月底,移动用户总数达到2.96亿,用户普及率达到20.9%。考虑闲置的充值卡和一人双机的情况,我国移动通信由于用户普及率相对还比较低,仍有相当巨大和持久的增长空间。但我国的移动通信领域已进入了全面竞争的时代,GSM、CDMA乃至小灵通等网络激烈争夺用户,这已导致了资费下降,用户ARPU值下降的情况。目前我国的GPRS、CDMA 1X等2.5G数据业务发展态势不错,并已逐步培育了用户群。而3G还处在技术试验阶段,政府依然保持谨慎态度。  
    
  除传统的公众移动通信外,全球的宽带无线接入领域近期研究和应用十分活跃,热点不断出现,给无线通信业界带来了清新的空气。这包括宽带固定无线接入技术、WLAN技术、WiMAX技术、UWB技术等等,呈现百花齐放的局面。这些技术的出现和发展,给整个无线通信产业注入了勃勃生机。  
    
  二、热点解析:五大技术引领风骚 应用模式各展所长  
    
  前文对全球无线通信领域的发展情况作了概要性介绍,以下将重点就当前无线通信领域的焦点问题和热点技术展开较深入的介绍和分析。主要包括3G、3.5GHz MMDS、WLAN、WiMax、UWB等五大热点。  
    
  1.举世瞩目的3G  
    
  今天,第三代移动通信3G格外引人瞩目,成为无线通信产业的最大热点。  
    
  首先,从技术角度来看,3G主流技术已经基本成熟。cdma2000由于技术本身的平滑演进特性,进入3G的障碍不大。WCDMA以前受版本不断更新的影响,阻碍了商用进程,但目前主体标准已经定型,具备了规模商用的基础。TD-SCDMA技术要相对滞后一些。  
    
  总的说来,当前的3G技术已经能够支持规模化的商用网络部署。  
    
  其次,目前欧美等运营商已经进入了3G网络部署阶段。3G网络的商用部署正在全球一步步地铺展开来。截至2004年3月底,就WCDMA而言,全球已经发放了120份牌照,签署了91份商业部署合同,目前已有二十多家网络投入商用,预计到2004年年底总数将超过40家。目前两家韩国运营商STK和KTF在使用cdma2000 1x EV-DO,日本KDDI也开始了EV-DO网络的商用,而Verizon也即将参与该制式下3G网络的部署。  
    
  应该说,2004年已经进入了全球3G的商用部署年。  
    
  第三,部分运营商的3G用户数量开始呈现快速增长的局面。最早推出3G商用业务的NTT DoCoMo近期宣布,在距离突破200万用户仅仅两个月的时间内,他们的3G用户总数就增长至300万大关。5月中下旬,和记黄埔表示,在过去两个月中,3G用户数出现了快速增加,目前在全球范围内已经达到了173万。截至2004年1月1日,全球使用cdma2000(包括CDMA 1X)系列和WCDMA标准制式的3G用户数已经达到了7300万。  
    
  从全球来看,3G商用在部分地区已取得了初步成功。  
    
  第四,我国3G处在黎明的前夕。我国对3G一直采取积极稳健的态度,目前,我国正在进行第二阶段的网络技术试验,或称外场测试。自今年3月起,开始启动WCDMA、cdma2000和TD-SCDMA的测试工作,由6大运营商分别在北京、上海、广州三地进行。测试的重点包括:3G网络覆盖、容量等性能试验;不同3G技术之间、3G和2G技术之间的干扰、共存;各种3G业务及业务兼容性试验;3G终端和系统之间互操作试验;3G和2G之间的互操作试验。  
    
  预计此阶段试验将在今年10月份完成,试验将对我国对3G的决策工作起到重要的参考作用。由于此次试验由运营商参与,且属于网络试验。因此,预计若此次试验结果令人满意的话,我国的3G牌照发放工作有可能顺势展开。  
    
  趋势分析 3G一波三折,曾经有一段时间,人们对3G的前途失去了信心,并在今天留下了心理阴影。对3G问题,我国应如何把握呢?笔者认为,目前,3G已处在商用的爆发阶段,由于3G技术和产品的成熟,3G的商用已不容置疑地摆在了我们面前。欧美等国运营商加紧部署3G网络以及日韩等国3G用户的快速增长,表明3G已经成为全球移动通信领域新的成长点,我国需要当机立断,尽快开展3G牌照的发放工作和商用部署工作。这样才不至于坐失机遇,在本来领先的移动网络建设中落后。同时,3G也为国内的电信制造商提供了绝佳的机遇,这也是我国移动通信产业的一次发展良机。  
    
  应该说,目前3G还存在一些问题,主要表现在市场还处在启蒙阶段,杀手级的业务还没有呈现,终端还不够多。在我国,政府将考虑对市场竞争度的把握,涉及3G网络发放几张牌照的问题,同时,还将考虑设备国产化问题。这些问题已经属于次要矛盾,目前最重要的是要选择恰当时机尽快推动3G网络平台的建设,这才是解决以上矛盾的关键环节和引导环节。  
    
  这主要是因为我国3G网络建设不同于西方发达国家,我国移动话音用户市场还有很大的成长空间,这就能够避免出现因为发展初期新应用新业务不足无法支撑网络生存的状况。同时,我国有迫切需要进入移动市场的“新”运营商,中国电信和中国网通如果被允许经营移动通信业务,其网络建设必然会选择3G,这从中远期的网络成本上要远远低于2G技术。此外,尽快发放3G牌照,对解决现有的小灵通(PHS)的矛盾,也有重要的战略意义。目前,日本都已经弃PHS而转攻3G,其目的十分明显,即要纠正自己早期大上带有强烈本土化特征的PHS导致失去移动领域国际领先地位的失误,重新用全球性的先进技术武装自己的移动通信产业,实现在该领域的战略性崛起。如果我国反其道而行之,将是不明智的,这关键还是政府的决策引导问题,而不能抱怨运营商。总之,3G不是一蹴而就的,如果迟迟不进行网络的建设,其他的矛盾将继续积聚,难以得到根本性的解决。  
    
  2.3.5GHz宽带固定无线接入的推广应用  
    
  3.5GHz宽带固定无线接入技术MMDS,是工作于3.5GHz无线频段上的中宽带无线接入技术。今年4月份,第三批3.5GHz宽带固定无线接入频率评选(招标)工作在我国进行,使MMDS技术在我国的应用进一步扩大,这也使3.5GHz固定无线接入技术成为今年业界的热点之一。  
    
  在此次评选(招标)工作中,中国电信、中国网通、中国移动、中国联通、中国铁通五大运营商分别获得河北、山西、内蒙古等27个省(区)的3.5GHz频段2×30MHz频率使用权,并将获准经营相应电信业务。加上此前的两次3.5GHz频率使用权分配,我国3.5GHz频段已在绝大部分地区分配完毕。这表明,我国的3.5GHz宽带固定无线接入进入了规模商用。  
    
  前一段时间,无线电管理局副局长刘岩率领由无线电管理局、电信管理局、电信研究院共同组成的调研组,对第二批3.5GHz中标企业的工作情况进行了调研。通过调研发现,在第二批中标的9家企业中有7家建设开通了网络,这7家企业在一半以上的中标城市建设了自己的网络。目前运营商倾向于提供的业务包括:语音接入业务(本地和IP电话),数据专线业务,Internet接入业务等。调研中还发现,如果将3.5GHz网络作为单一网络来经营,盈利困难比较大,特别是对于大型企业。调研中,运营企业对进一步获得3.5GHz频率资源表现出了很大热情。  
    
  趋势分析 宽带固定无线接入技术因为其高带宽、建设速度快、接入方式灵活等特点,受到了业界的关注。但这项技术也有其局限性,比如高频段26GHz的LMDS技术受天气影响较大,而3.5GHz MMDS技术在我国又受到了带宽不足等因素的限制。因此,对于宽带固定无线接入技术,我们应该回归理性的认识。它具有自身的优势,但也有其固有的缺陷,因此在应用中要实事求是。  
    
  就目前重点推广的3.5GHz技术来看,运营商的经营经验表明,若单独把MMDS技术作为一个独立网络来运作,由于其技术、用户规模和频率带宽的限制,较难实现盈利。因此,我们应该进一步放宽眼光,把它推广至更大的应用领域。比如可以考虑像现在某些运营商所采用的,将之作为移动基站的回路。  
    
  对于3.5GHz MMDS技术,我们一方面要积极推动其综合业务的应用,比如数据增值业务的开发和经营。同时也要从全局的角度考虑,使之成为移动通信网络的有效补充手段。这样才能充分发挥3.5GHz频段的效率。未来,随着3G技术的商用,3.5GHz将有望成为移动网络重要的接入补充手段,并对3G网络的搭建起到支撑作用。  
    
  3.沸沸扬扬的WLAN标准之争  
    
  无线局域网技术WLAN(Wi-Fi),其技术标准为802.11,可实现十几兆至几十兆的无线接入。我国目前发展的主要是802.11b标准的WLAN网络,支持11Mbps的无线接入。作为近年来的一项新技术,WLAN在欧美等国快速发展,在我国近两年也得到了几大运营商的追捧。而自去年开始的WAPI标准之争,吸引了全球的关注目光。  
    
  2003年5月12日,由中国宽带无线IP标准工作组负责起草的无线局域网两项国家标准(即WAPI标准),由国家信息产业部报送国家标准化管理委员会正式颁布。2003年12月1日,国家认证认可监督管理委员会发布2003年第113号公告,宣布对无线局域网产品实施强制性产品认证,要求所有产品都要加载我国拥有自主知识产权的安全保密协议WAPI,从2004年6月1日起,不符合WAPI标准的无线局域网产品不得出厂、进口、销售或者在其他经营活动中使用。但2004年4月22日,国务院副总理吴仪表示中国已经同意美方提出的要求,不在2004年6月1日最后期限到来之时强制实施WAPI标准。2004年4月29日,国家质检总局、认监委、国标委联合发布了2004年第44号公告。公告强调:WAPI标准实施时间只是推迟,并没有取消,也没有取消标准的强制性属性。  
    
  笔者认为,之所以出现WAPI标准之争,除了国家出于自身信息安全的考虑外,我国无线通信设备厂商希望成长壮大,占领新兴技术市场的渴望也是重要因素。但该标准的无限期推迟,也暴露出一些问题。那就是,我国的无线技术的核心能力,与国际水平相比还有一定差距,还难以撼动国际主流的技术集团。同时,我国通信技术标准的制订策略,还存在封闭性的问题,这也是其受到国际社会普遍攻击的重要原因。当然,WAPI标准的推迟执行,也是出于更大的国家利益的考虑。  
    
  趋势分析 WAPI标准之争,表明WLAN技术在全球的重要战略地位。其战略意义不只在于网络的部署、用户的发展、业务的经营范畴,更在于其对IT通信产品领域的巨大拉动力量,特别是对计算机芯片的突出贡献。因此,我国应该积极推进WLAN核心技术的研究工作,这不仅涉及通信产业,而且涉及IT领域的巨大利益。  
    
  抛开WAPI标准之争,我们如何把握WLAN技术的发展趋势呢?应该说,WLAN在我国目前的工作,陷入了低潮阶段。这主要是受制于WLAN技术自身的限制,比如其漫游性、安全性、如何计费等等,还没有得到妥善的解决。另外,高端商业用户的不足,使网络建设的投资收益比较低,因此也影响了运营商的积极性。未来,随着技术的进一步成熟,WLAN技术将在特定的区域和范围,特别是热点区域和高速信息接入领域,发挥对移动通信网络的重要补充作用。3G网络商用后,WLAN将成为弥补3G固定区域高速覆盖的不足。总体来看,WLAN具有很强的生命力,但其在运营领域的发展速度估计会低于过去的预期。  
    
  4.宽带无线技术新宠WiMAX  
    
  有资料显示,“WiMAX”已经成为近期互联网上搜索量最大的通信关键词,该项技术以其远覆盖和高带宽特性,成为无线业界的新宠。  
    
  WiMAX全称为World Interoperability for Microwave Access,即全球微波接入互操作系统,其技术标准为IEEE 802.16。WiMAX也组织了自己的联盟。目前这个联盟已经发展了数十家会员,该联盟由Intel牵头,我国中兴通讯也名列其中。WiMAX的目标是促进IEEE 802.16的应用。  
    
  WiMAX相对于Wi-Fi的优势主要体现在Wi-Fi解决的是无线局域网的接入问题,而WiMAX解决的是无线城域网的问题。Wi-Fi只能把互联网的连接信号传送到300英尺远的地方,WiMAX则能把信号传送31英里之远。Wi-Fi网络连接速度为每秒54兆,而WiMAX为每秒70兆。有专家认为,WiMAX的覆盖范围和传输速度将对3G构成威胁。在成本等各个方面的优势使得业内人士将WiMAX技术看作是一项打破产业格局的技术。  
    
  近期,英国电信(BT)、法国电信、Qwest通信公司、Reliance电信和XO通信加入了WiMAX论坛,目前WiMAX论坛已经拥有98个成员,运营商占25%。今年初,Intel也宣布,下半年开始将会在其生产的芯片中部分采用WiMAX标准。  
    
  趋势分析 对于今天异常火热的WiMAX技术,我们该如何看待?它会成为3G技术的终结者吗?笔者认为,这种观点不尽正确。首先,从技术自身角度来看,WiMAX还不具备公众移动通信网络的广域漫游、安全特性、终端便携等移动特性。其次,WiMAX标准还不成熟,因此预计商用还需要至少两年以上的时间,规模普及还要五年左右的时间。其三,WiMAX的特点是高速的数据传输能力,但其还没有对实时话音业务的高效支持能力,这将限制其作为公众移动通信的应用。其四,WiMAX的产业规模以及技术和设备成熟性还远远难以和3G相抗衡,其推广期也将滞后于已经开始启动的3G技术。其五,WiMAX技术有可能受到传统移动通信运营商或制造商的抵制,从而限制其发展。  
    
  对于WiMAX技术,笔者认为它具有巨大的潜力,但尚处在襁褓阶段,目前还难以对当前的全球无线通信格局产生重大的影响。由于3G的实施,WiMAX将可能成为未来3G网络的补充手段,在高速信息接入领域发挥其特性。但受其自身移动性和话音支持能力的限制,WiMAX不大可能杀死3G。  
    
  5.超宽带无线接入技术UWB  
    
  无线技术领域的活跃除表现在新技术不断涌现外,还表现在其传输能力的不断拓展。近两年,一项超高速的无线接入技术受到了大家的关注,那就是UWB。  
    
  UWB是一种时域通信技术,它采用超短周期脉冲进行调制,把信号直接按照0或1发送出去,而不使用载波,这与此前的无线通信截然不同。脉冲调制产生的信号为超宽带信号,谱密度极低,信号的中心频率在650MHz~5GHz之间,平均功率为亚毫瓦量级,抗干扰和多径的能力强,具有多个可利用信道。与CDMA系统相比,时域通信系统结构简单,成本相对较低。UWB技术具有高速率、低成本、低功耗的显著特性。  
    
  UWB最引人注目的特点是具有很高的数据传输速率。Xtreme Spectrum公司预测,他们即将开发出的产品具有在10米内传输约100Mbps的能力,Intel则把目标定在了500Mbps。  
    
  趋势分析 对于UWB技术,我们应该这样看待,它以其独特的速率锋芒以及特殊的应用范围,也将在无线通信领域占据一席之地。由于其高速、窄覆盖的特点,它很适合组建家庭的高速信息网络。它对蓝牙技术具有一定的冲击,但对当前的移动技术、WLAN等技术的威胁不大,甚至可以成为其良好的能力补充。  
三、走势把握:接入多元网络一体 综合布局代表方向  
    
  以上,就当前无线通信领域的热点和焦点问题进行了叙述和讨论。那么,我们该如何把握中期未来无线领域的发展趋势呢?  
    
  首先,无线通信领域各种技术的互补性日趋鲜明。这主要表现在不同的接入技术具有不同的覆盖范围,不同的适用区域,不同的技术特点,不同的接入速率。比如3G和WLAN、UWB等,都可实现互补效应。3G可解决广域无缝覆盖和强漫游的移动性需求,WLAN可解决中距离的较高速数据接入,而UWB可实现近距离的超高速无线接入。因此,在政策上我们应该综合推进各种无线接入的发展,推进组网的一体化进程,通过建网的接入手段多元化,实现对不同用户群体的需求覆盖,达到市场细分和业务的多元化,解决移动通信发展不均衡的状况。  
    
  其次,我国政府应该给企业配置更多的无线频率资源,推进不同技术相关频谱的规划和应用工作。这样才有利于不同的企业根据不同的发展策略和市场需求,综合地规划自己的无线通信网络,实现资源的有效配置和利用。当然,政府也需要加强对有限频率资源的管理,对于企业闲置不用的频率占用,考虑适当的手段予以收回。  
    
  其三,从公众移动通信网络发展来看,3G已经成为全球包括中国移动网络演进的主要进程。从欧美发达国家的经验来看,由于其移动话音用户的普及率高,通过发展用户实现增长的模式已成为历史。因此,他们期望通过3G搭建更大的业务平台,从而实现利润的新来源。由于3G技术的成熟,目前3G商用网络部署已经在全球范围内启动。就我国而言,也要借鉴欧美的经验,在用户数量增长放缓之前,就应提前培育新兴移动市场。目前,政府应该开始积极考虑3G牌照发放和商用问题,把握住这个移动业界的巨大历史机遇。  
    
  其四,从宽带无线接入技术来看,全球该领域发展十分火热。该领域的发展呈现出向高带宽快速跃进、覆盖范围逐步扩张的趋势。未来,该领域还可能出现更强大的新技术,从另一个角度对整个无线通信产业起到推进作用。但从近期来看,我们对宽带无线接入技术发展应该有一个理性的态度和科学的把握。目前的宽带无线接入技术主要集中在固定环境下的高速接入,其移动性和话音支持能力无法和公众移动通信网络抗衡。在发展中,我们应该从全局的观点来把握,使之成为与移动网络互补的重要技术手段,这样既可以充分发挥其技术个性,又防止出现不必要的资源竞争和浪费。  
    
  其五,未来的无线通信网络应该是怎样的呢?专家认为,未来的无线通信网络将是一个综合的一体化的解决方案。各种无线技术都将在这个一体化的网络中发挥自己的作用,找到自己的天地。从大范围公众移动通信来看,3G或超3G技术将是主导,从而形成对全球的广泛无缝覆盖;而WLAN、WiMAX、UWB等宽带接入技术,将因其自己不同的技术特点,在不同覆盖范围或应用区域内,与公众移动通信网络形成有效互补。  
    
  其六,更远的未来,按当前专家们的预想,通信信息网络将向下一代网络NGN融合。在未来NGN概念中,固定网络将形成一个高带宽、IP化、具有强QoS保证的信息通信网络平台。在这一平台上,各种接入手段将成为网络的触手,向各个应用领域延伸。而3G、宽带固定无线接入、各种无线局域网或城域网方案,都将成为大NGN平台的延伸部分。从而形成集固定无线手段于一体,各种接入方式综合发挥效用,各种业务形成全网络配置的一体化综合网络。当然,这一进程将是漫长的,也必将遇到很多挫折。  
    
  四、结束语  
    
  由于无线通信网络存在的带宽需求和移动网络带宽不足的矛盾,用户地域分布和对应用需求不平衡的矛盾以及不同技术优势和不足共存的矛盾,因此,决定了发展无线通信网络需要综合运用各种技术手段,从全局和长远的眼光出发,采取一体化的思路规划和建设网络。发挥不同技术的个性,综合布局,解决不同区域、不同用户群对带宽及业务的不同需求,达成无线通信网络的整体优势和综合能力。对此,我国政府管理部门也应该积极为运营商配备充足的频谱资源,为其综合规划提供有力的支撑和保障。  
    
  总之,无线通信中期未来的发展趋势表现为:各种无线技术互补发展,各尽所长,向接入多元化、网络一体化、应用综合化的宽带无线网络发展,并逐步实现和宽带固定网络的有机融合。  



本文关键词:无线 通信技术 发展趋势   

时间:  2006-6-25 20:33
作者: qqqq

无线局域网入侵检测现状和技术要点



                              
  随着无线技术和网络技术的发展,无线网络正成为市场热点,其中无线局域网(WLAN)正广泛应用于大学校园、各类展览会、公司内部乃至家用网络等场合。但是,由于无线网络的特殊性,攻击者无须物理连线就可以对其进行攻击,使WLAN的安全问题显得尤为突出。对于大部分公司来说,WLAN通常置于防火墙后,黑客一旦攻破防火墙就能以此为跳板,攻击其他内部网络,使防火墙形同虚设。与此同时,由于WLAN国家标准WAPI的无限期推迟,IEEE 802.11网络仍将为市场的主角,但因其安全认证机制存在极大安全隐患,无疑让WLAN的安全状况雪上加霜。因此,采用入侵检测系统(IDS——intrusion detection system)来加强WLAN的安全性将是一种很好的选择。尽管入侵检测技术在有线网络中已得到认可,但由于无线网络的特殊性,将其应用于WLAN尚需进一步研究,本文通过分析WLAN的特点,提出可以分别用于有接入点模式WLAN和移动自组网模式WLAN的两种入侵检测模型架构。
  
  上面简单描述了WLAN的技术发展及安全现状。本文主要介绍入侵检测技术及其应用于WLAN时的特殊要点,给出两种应用于不同架构WLAN的入侵检测模型及其实用价值。需要说明的是,本文研究的入侵检测主要针对采用射频传输的IEEE802.11a/b/g WLAN,对其他类型的WLAN同样具有参考意义。
  
  1、WLAN概述
  1.1 WLAN的分类及其国内外发展现状
  
  对于WLAN,可以用不同的标准进行分类。根据采用的传播媒质,可分为光WLAN和射频WLAN。光WLAN采用红外线传输,不受其他通信信号的干扰,不会被穿透墙壁偷听,而早发射器的功耗非常低;但其覆盖范围小,漫射方式覆盖16m,仅适用于室内环境,最大传输速率只有4 Mbit/s,通常不能令用户满意。由于光WLAN传送距离和传送速率方面的局限,现在几乎所有的WLAN都采用另一种传输信号——射频载波。射频载波使用无线电波进行数据传输,IEEE 802.11采用2.4GHz频段发送数据,通常以两种方式进行信号扩展,一种是跳频扩频(FHSS)方式,另一种是直接序列扩频(DSSS)方式。最高带宽前者为3 Mbit/s,后者为11Mbit/s,几乎所有的WLAN厂商都采用DSSS作为网络的传输技术。 根据WLAN的布局设计,通常分为基础结构模式WLAN和移动自组网模式WLAN两种。前者亦称合接入点(AP)模式,后者可称无接入点模式。分别如图1和图2所示。
  
 

  
图1 基础结构模式WLAN
  
 

  
图2 移动自组网模式WLAN
  
  1.2 WLAN中的安全问题 WLAN的流行主要是由于它为使用者带来方便,然而正是这种便利性引出了有线网络中不存在的安全问题。比如,攻击者无须物理连线就可以连接网络,而且任何人都可以利用设备窃听到射频载波传输的广播数据包。因此,着重考虑的安全问题主要有:
  
  a)针对IEEE 802.11网络采用的有线等效保密协议(WEP)存在的漏洞,进行破解攻击。
  
  b)恶意的媒体访问控制(MAC)地址伪装,这种攻击在有线网中同样存在。
  
  C)对于含AP模式,攻击者只要接入非授权的假冒AP,就可登录欺骗合法用户。
  
  d)攻击者可能对AP进行泛洪攻击,使AP拒绝服务,这是一种后果严重的攻击方式。此外,对移动自组网模式内的某个节点进行攻击,让它不停地提供服务或进行数据包转发,使其能源耗尽而不能继续工作,通常称为能源消耗攻击。
  
  e)在移动自组网模式的局域网内,可能存在恶意节点,恶意节点的存在对网络性能的影响很大。
  
  2、入侵检测技术及其在WLAN中的应用
  IDS可分为基于主机的入侵检修系统(HIDS)和基于网络的入侵检测系统(NIDS)。HIDS采用主机上的文件(特别是日志文件或主机收发的网络数据包)作为数据源。HIDS最早出现于20世纪80年代初期,当时网络拓扑简单,入侵相当少见,因此侧重于对攻击的事后分析。现在的HIDS仍然主要通过记录验证,只不过自动化程度提高,且能做到精确检测和快速响应,并融入文件系统保护和监听端口等技术。与HIDS不同,NIDS采用原始的网络数据包作为数据源,从中发现入侵迹象。它能在不影响使用性能的情况下检测入侵事件,并对入侵事件进行响应。分布式网络IDS则把多个检测探针分布至多个网段,最后通过对各探针发回的信息进行综合分析来检测入侵,这种结构的优点是管理起来简单方便,单个探针失效不会导致整个系统失效,但配置过程复杂。基础结构模式入侵检测模型将采用这种分布式网络检测方法,而对于移动自组网模式内的入侵检测模型将采用基于主机的入侵检测模型。
  
  当前,对WLAN的入侵检测大都处于试验阶段,比如开源入侵检测系统Snort发布的Snort-wire-less测试版,增加了Wifi协议字段和选项关键字,采用规则匹配的方法进行入侵检测,其AP由管理员手工配置,因此能很好地识别非授权的假冒AP,在扩展AP时亦需重新配置。但是,由于其规则文件无有效的规则定义,使检测功能有限,而且不能很好地检测MAC地址伪装和泛洪拒绝服务攻击。2003年下半年,IBM提出WLAN入侵检测方案,采用无线感应器进行监测,该方案需要联入有线网络,应用范围有限而且系统成本昂贵,要真正市场化、实用化尚需时日。此外,作为概念模型设计的WIDZ系统实现了AP监控和泛洪拒绝服务检测,但它没有一个较好的体系架构,存在局限性。
  
  在上述基础上,我们提出一种基于分布式感应器的网络检测模型框架,对含AP模式的WLAN进行保护。对于移动自组网模式的WLAN,则由于网络中主机既要收发本机的数据,又要转发数据(这些都是加密数据),文献提出了采用异常检测法对路由表更新异常和其他层活动异常进行检测,但只提供了模型,没有实现。此外,我们分析了移动自组网模式中恶意节点对网络性能的影响,并提出一种基于声誉评价机制的安全协议,以检测恶意节点并尽量避开恶意节点进行路由选择,其中恶意节点的检测思想值得借鉴。Snort-wireless可以作为基于主机的入侵检测,我们以此为基础提出一种应用于移动自组网入侵检测的基于主机的入侵检测模型架构。
  
  3、WLAN中的入侵检测模型架构
  在含AP模式中,可以将多个WLAN基本服务集(BSS)扩展成扩展服务集(ESS),甚至可以组成一个大型的WLAN。这种网络需要一种分布式的检测框架,由中心控制台和监测代理组成,如图3所示。
  
 

  
图3 含AP模式的分布式入侵检测系统框架
  
  网络管理员中心控制台配置检测代理和浏览检测结果,并进行关联分析。监测代理的作用是监听无线数据包、利用检测引擎进行检测、记录警告信息,并将警告信息发送至中心控制台。
  
  由此可见,监测代理是整个系统的核心部分,根据网络布线与否,监测代理可以采用两种模式:一种是使用1张无线网卡再加1张以大网卡,无线网卡设置成“杂凑”模式,监听所有无线数据包,以太网卡则用于与中心服务器通信;另一种模式是使用2张无线网卡,其中一张网卡设置成“杂凑”模式,另一张则与中心服务器通信。
  
  分组捕获完成后,将信息送至检测引擎进行检测,目前最常用的IDS主要采用的检测方法是特征匹配,即把网络包数据进行匹配,看是否有预先写在规则中的“攻击内容”或特征。尽管多数IDS的匹配算法没有公开,但通常都与著名的开源入侵检测系统Snort的多模检测算法类似。另一些IDS还采用异常检测方法(如Spade检测引擎等),通常作为一种补充方式。无线网络传输的是加密数据,因此,该系统需要重点实现的部分由非授权AP的检测。通常发现入侵之后,监测代理会记录攻击特征,并通过安全通道(采用一定强度的加密算法加密,有线网络通常采用安全套接层(SSL)协议,无线网络通常采用无线加密协议(WEP))将告警信息发给中心控制台进行显示和关联分析等,并由控制台自动响应(告警和干扰等),或由网络管理员采取相应措施。
  
  在移动自组网模式中,每个节点既要收发自身数据,又要转发其他节点的数据,而且各个节点的传输范围受到限制,如果在该网络中存在或加入恶意节点,网络性能将受到严重影响。恶意节点的攻击方式可以分为主动性攻击和自私性攻击。主动性攻击是指节点通过发送错误的路由信息、伪造或修改路由信息等方式,对网络造成干扰;自私性攻击是指网络中的部分节点可能因资源能量和计算能量等缘故,不愿承担其他节点的转发任务所产生的干扰。因此,对恶意节点的检测并在相应的路由选择中避开恶意节点,也是该类型WLAN需要研究的问题。
  
  我们的检测模型建立在HIDS上,甚至可以实现路由协议中的部分安全机制,如图4所示。
  

  
图4 移动自组网模式中的入侵检测架构
  
  当数据包到达主机后,如果属于本机数据,数据包将被解密,在将它递交给上层之前,先送至基于主机的误用检测引擎进行检测,根据检测结果,对正常数据包放行,对攻击数据包则进行记录,并根据响应策略进行响应。此外,还可以在误用检测模型的基础上辅以异常检测引擎,根据以往的研究成果,可以在网络层或应用层上进行,也可以将其做入路由协议中,以便提高检测速度和检测效率。
  
  4、结束语
  传统的入侵检测系统已不能用于WLAN,而WLAN内入侵检测系统的研究和实现才刚刚起步。本文分析了WLAN的特点及其存在的安全问题,提出了两种入侵检测系统架构,可以分别用于基础结构模式WLAN和移动自组网模式WLAN,具有实用价值。基础结构模式WLAN采用分布式网络入侵检测,可用于大型网络;移动自组网中采用基于主机的入侵检测系统,用于检测异常的节点活动和发现恶
时间:  2006-6-25 20:35
作者: qqqq

塑料光纤传光原理
摘要:塑料光纤POF之所以能传光是因为光纤具有芯皮结构,光在POF中传输是按全反射原理进行的,光在SI POF中的传输方式为全反射式锯齿型,光在GI POF中的传输方式为正弦曲线型;子午线就是光线的传播路径始终经过光纤轴并在同一平面内,选用子午线进行了参数计算,这些参数计算包括最大入射角或发射光角度、数值孔径、子午线在阶跃型光纤中的几何行程及反射次数;侧面发光POF和荧光POF也是按全反射原理进行传光的,对于单芯侧面发光POF多是由非固有损耗导致侧面发光,而对于多芯侧面发光POF则是由弯曲损耗产生侧面发光的。荧光POF经过特定波长光激发后发出特定波长的光,而且激发光不仅可从端面入射,而且可从侧面入射。


关键词:聚合物光纤,塑料光纤,POF , 传光, 原理



1. 前言

   光纤自身不能发光,但光纤可以传光,用于照明;光纤照明所选用的光纤,按照光纤材质的不同,通常可分为石英光纤、多组分玻璃光纤和塑料光纤POF等,本文主要介绍POF的传光原理,其它的光纤传光原理同POF的传光原理是一致的。
人们很早就观察到光在透明柱体中通过多次全反射向前传播的现象,他们就是古代的玻璃吹制艺人。而首次科学阐述这一现象的,却是英国皇家学会的约翰·丁达尔向英国皇家学会演示了一个著名的实验,他当时用一只盛满水的器皿,让水从器皿的侧孔中流出,这时投射在水中的光也随着水流传导出来。

  1880年,威廉·惠勒(William Wheeler)提出“管道照明”的设想,并获得美国专利,这是有案可查的最早的“遥控照明”装置,其基本原理是:用内壁涂有反射层的管子把中心光源的光象自来水一样引至若干个需要照明的地点, 这实际上是光纤用于照明的雏形,光纤照明系统简单地就可以看作是和上述的“管道系统”相类似的一个系统,在这个系统中,所传输的介质是光,而用以传输光的“管道”就是光纤,光纤可以把光线从光源处传输至需要照明的特定区域。1954年,《自然》杂志发表了Hopkin's 和Kapany成功地用一束10,000到20,000 的纤维来传输图像的文章,Van Heel发现低折射率光纤包层的作用,纤维的图像传输的成功实现和光纤包层的提出这两个进步标志着光导纤维作为一个新兴学科的诞生, 1966年,英国标准电信研究所英籍华裔科学家高锟(K.C.Kao)博士和G.A.Hockham 在详细研究了玻璃的传输损耗后,撰写的文章《用于光频的介质纤维表面波导》发表在伦敦电气工程师协会(IEE)会刊上,他们从理论上指出:如果减少或消除光导纤维中的有害杂质如过渡金属离子,可大大降低光纤传输损耗, 提高光纤的传光能力,从而推动了光纤制造工艺的研究。美国杜邦DuPont公司亦在这一年向市场推出了世界上第一根POF[1],POF就是光纤的一种,而光纤用于光纤照明的基本原理是利用光线在不同折射率介质的界面发生全反射,实现光在光纤中的高效传输以及光纤与光源的充分耦合,并通过与各种光学元件的组合,达到需要的照明效果,为了解光在光纤中的传输方式,现介绍子午光线在POF中的传输特性。

2. 光的基础知识

   光是通过光源内大量的分子或原子振动而产生的辐射。1894年,麦克斯韦从理论上指出,光是一种电磁波,1905年爱因斯坦提出光是一粒一粒的粒子流,每个粒子可被称为光子。也就是说光既具有粒子性,又具有波动性,光在传播时表现为波动性,而与物质作用时又表现为粒子性。通常我们所说的光是电磁波的一种,它通常由紫外光、可见光和近红外光组成,其中1-390nm 波段的光为紫外光UV,波长为280-300nm波段为UV-B,它的强光可以杀死或严重损伤地球上的生物;200-280um波段为UV-C,它的强光可以杀死地球上一切生物,包括人类, 比紫外光频率更高的还有X光和γ射线等; 390-760nm波段的光为可见光;波长在760-1500nm为近红外光,中红外波段波长范围为1.5-25μm,远红外光谱波长范围25-300μm,比远红外光频率更小或波长更长的有毫米波、微波、短波、中波和长波等。而可见光又是由七色光组成的,即可见光含有红色光、橙色光、黄色光、绿色光、蓝色光和靛青光等色光[2]:?
   紫色/nm 靛青/nm 蓝色/nm 绿色/nm 黄色/nm 橙色/nm 红色/nm
   390-430 430-450 450-500 500-570 570-600 600-630 630-760


  国际照明委员会统一规定的标准是:选水银光谱中波长为700nm的红光为红基色光, 波长为546.1nm的绿光为绿基色光, 波长为435.8nm的蓝光为蓝基色光。常规POF一般在紫外光波段并没有很好的透光性,而石英光纤和特制的液芯光纤在这一区域有很好的透光率,POF在可见光区域有很好的透光率,由POF芯材选用氟化和氘化聚合物材料制备的POF在近红外光区域才有很好的透光率。
  光在真空中的传播速度C为3×108m/s,光的传输波长λ,频率f和光速C之间关系参见如下公式:
C=fλ…………………… (1)
  其中f的单位为赫兹Hz或1/秒(s),波长的单位为米 (m)。
  只有真空的折射率n为1.0,故光在任一传输介质的传播速度V是光速除以该介质的折射率,即:
光在真空中的传播速度是最快的,传输介质不同,其折射率不同,传光速度也不同。相对而言,折射率大的传输介质是光密介质,折射率小的传输介质是光疏介质,对于POF而言,POF芯材为光密介质,POF皮材为光疏介质,由于光在光密媒介-芯材中的传播速度会降低,故光在芯材中的传输速度慢于皮材中的传输速度;在空气中,由于n≈1,光波的传播速度接近于真空中的传播速度C;纯PMMA的折射率为1.49,故光在其中的传输速度约为2.01×108m/s。
  光在均匀媒质或不均匀媒质中传输时,满足费玛(Fermat) 原理,即光从空间一点到另一点是沿着时间为极值的路程而传播的,即光沿着光程为最小或最大或恒量的路径传播。


3. 几何光学理论

  要了解POF传光原理,必须了解一些几何光学的知识。
  首先光学分为几何光学和物理光学,几何光学是研究光在均匀介质中的传播特性,通常采用直线来描述,它是研究光在介质中传播的基础光学理论。物理光学又分为波动光学和量子光学,波动光学认为光是一种电磁波,但它不能解释光的微观现象;量子理论认为光的能量不是连续分布的,光是一粒粒运动着的光子组成,每个光子具有确定的能量。几何光学理论的四大基本定律为:
3.1 光的直线传播定律:在各向同性的均匀介质中,光是沿直线传播的。
3.2 光的独立传播定律:不同光源发出的光线从不同方向通过某点时,彼此不影响,各光线的传播不受其它光线影响。
3.3 光的反射定律:当一束光投射到某一介质光滑表面时,保存一部分光反射回原来的介质,这一光线称为反射光线,反射光线、入射光线和法线位由于同一平面内,入射线同法线组成的角称为入射角,反射光线同法线组成的角称为反射角,反射角等于入射角,即θ1=θ3, 其绝对值相等,这就是反射定律。

3.4 光的折射定律:当一束光投射到某一介质光滑表面时除了有一部分光发生反射外,还有一部分光通过介质分界面入射进第二传输介质中,这一部分光线称为折射光线,折射光线和入射光线分别位于法线的两侧,折射光线位于入射光线和法线所决定的平面内。折射光线同法线组成的角称为折射角,入射角的正弦值同折射角正弦值的比值为一恒定值,这就是折射定律。需要指出的是采用几何光学分析光在某一研究对象中的传输特性时,这一研究对象的几何尺寸必须远远大于所传输的光波长,这样才能忽略波长的长度,否则就必须采用物理光学分析光在研究对象中的传输特性。也即是光纤纤芯直径是所传播光波长的几十倍或几百倍时,其传播现象就可用几何光学而不用波动光学来研究。

4. 子午光线在阶跃型POF中的传输
 ? 阶跃型POF是一种具有芯皮结构的光纤。
  子午平面指的是包含有光纤轴的平面,所谓子午线,就是光线的传播路径始终在同一平面内,子午光线总是和光纤轴相交的,光在一种均匀介质传播时是一种直线式传播:当光从一种介质传至另一介质表面时,一般同时发生反射和折射;如果光从折射率小的光疏介质射入折射率大的光密介质时,则折射角小于入射角;而当光从光密介质射入光疏介质时折射角将大于入射角,因而当光从光密介质射入光疏介质时就有可能出现只有反射而无折射的现象,这就是全反射,全反射是光折射的一种边界效应,即光从一种透明介质进入到另一种介质里而发生弯曲的现象。POF就是通过全反射原理进行光传输的。

? 由折射定律公式可得出:
n1sinθ1=n2sinθ2 (4)
这里n1、n2分为芯皮折射率,θ1、θ2分为入射角和折射角,设发生全反射的临界角为θm,此时θ2=90°,故而
当入射角θ1>θm时,则光在芯皮界面上发生全反射,而当入射角θ1<θm时,则光在芯皮表面上出现折射,有一部分光从芯材泄漏至皮层外。由全反射临界角同样可推出光纤截面临界入射光纤角θ0,在空气和光纤截面界面上,同样有:
n0sinθ0 = n1sin (90°—θm)
= n1cosθm
  其中,n0为空气折射率,设定其值同于真空折射率值1.0 即 n0=1.0,因而
 ? 即外界光入射角θ小于θ0时,光线才能在光纤中以全反射的形式向前传播,从光纤一端传至光纤另一端,所以,光纤临界接受角为:
故光在SI POF光纤的传输方式为全反射式锯齿型。
  光纤数值孔径是光纤一个重要指标之一,NA值越大,则θ0越大,光纤临界入射角越大,则光纤端面接受光或发射光角度越大,光纤的集光能力愈强,愈便于光纤同光纤连接或同光源耦合。常规POF的光纤数值孔径。

5. 子午线在阶跃型光纤中的几何行程和反射次数
  由于子午光线入射光纤中并不是同一角度,故而其在光纤中的几何行程也不相同。无论是子午线在光线中的行程计算公式还是反射次数计算公式,都是假定光纤是处于非常理想状态下:光纤非常直,光纤直径均匀,光纤内部无缺陷和光纤入射端面平直等,倘若光纤不在这一理想条件下,则入射子午线全反射的状况就会发生变化,如有的会从光纤中反射出,有的反射角会发生变化等,因此光纤的传输损耗也会增加。


6. 斜光线在阶跃型折射率POF中的传输
  所谓斜面光线,就是光在光纤中传输中时,并不是像子午光线一样保证在同一平面内,它在光纤中传输时,其轨道通常是一空间螺旋曲线,其最大入射角比子午线的大,但通常以子午线传输表征光纤的传输特性,自然这是最理想的一种状况。



时间:  2006-6-25 20:36
作者: qqqq

7. 光在渐变型折射率分布POF中的传输
 ? 对于渐变型折射率GI POF,同样有子午线和斜光纤,这种光纤折射率并不是一恒定常数,而是随着离轴距离的增加而折射率下降,其渐变折射分布图参见如下;抛物线型折射率分布光纤具有较小的模式色散的特点,渐变折射分布有多种形式,当折射率分布按二次方抛物线分布时,子午线在光纤中的传播路径为正弦曲线型,参见下图,斜光纤的传播路径为螺旋曲线,渐变型折射率POF多用于短距离数据传输,用于光纤照明较少。
 ? 这种光纤传输的激光能量分布接近Gauss分布,即在光纤轴附近具有更高的光能量密度,也就是说激光能量更为集中,其传输的激光功率密度(或称激光强度)I可认为与纤芯直径α的平方成正比。若保持光纤传输的激光功率不变的话,减小光纤芯径即减小传输激光能量的光纤纤芯的横截面面积,则光纤传输的激光功率密度将增加[5],当光在这种GI POF传输时,可以说是一种极低能量的传输,亦满足如上所述的公式。
8.侧面发光POF的传光原理
  侧面发光POF是指光在光纤传输过程中,不仅将传输光从光纤的入射端面传输至出射端面,而且还有一部分光从光纤包覆层透射出来,从而形成光纤侧面发光的现象,这种光纤被称为侧面发光POF,其传光示意图如下,其实质是传输光有一部分从光纤侧面泄漏出,是一种光散射的结果,对于单芯侧面发光POF多是由非固有损耗产生的,而对于多芯侧面发光POF则是由于弯曲损耗产生的。
 ? 侧面发光POF最显著的特征是侧面发光,据Janis Spigulis等人[5].推算,侧面发光POF的侧面发光强度是随其长度的增加而呈指数性下降的,同于普通光纤光传输方向的发光强度是随其传输长度的增加呈指数下降,在作出如下假定后而得出的结论:
8.1 侧面发光的原理仅被认为是由于光纤芯传输辐射引起的。
8.2 所有最初的侧面散射光没有损耗穿透光纤圆形表面,其结果是均匀地传输至光纤外表面。
侧面发光POF在长度为X米处的发光强度Is(x)可用如下公式表示:
Is(x)=Aexp(-kx) (24)
其中 K为侧面发光系数,单位m-1,常数A可用如下式表示:
A=(4π)-1I。(expk-1) (25)
其中I。是侧面发光POF光输入强度。
因此在实际使用过程中,为保证侧面发光POF侧面发光强度的均匀性,通常限制侧面发光POF的使用长度,并且在侧面发光POF的两端皆设置相同功率的光源或者一端设置全反射镜或反光膜,当然前者在更长的使用长度上保证光纤侧面发光的均匀性,选用双光源的侧面发光POF在某一处的发光强度IS2(x)可用如下公式(26)计算。

IS2(x)=A{exp(-kx)+exp[-k(L-x)]} (26)
其中L为侧面发光POF总长度。

  选用全反射镜计算的侧面发光POF强度可用如下公式计算, 侧面发光POF的发光强度和距离的关系参见如下图。
ISR(x)=A{exp(-kx)+Rexp[-k(2L-x)]} ………(26)
其中R为镜面反射率。

  因存在光传输损耗,侧面发光的亮度将随着与光源距离的增大而减小,为使光纤单位长度内的亮度接近一致,可对单端光源的光纤按长度进行刻痕处理,随光纤长度递增,刻痕间距递减。在实际使用过程中,当侧面发光POF的使用长度在30m以下时,多配用一台150W金卤灯光源,另端配用反光镜或反光膜;当侧面发光POF的使用长度在30~60m之间时,多配用两台150W金卤灯光源,以保证侧面发光POF的侧面发光的均匀性,下图为实测三根直径为14mm的侧面发光POF侧面光照度示意图,可以看出当选用一台150W金卤灯光源时,1.5m处POF侧光照度为800lx左右,而60m处的照度不到20lx,照度计测试时离光纤的表面距离为2.5cm。

9.荧光POF的传光原理
  荧光POF就是在POF芯材中掺入一定量的荧光剂制备而成的POF,这种POF经过特定波长的光照射后,将发出特定波长的光,其原理比较复杂,可简单认为基态分子中成键电子吸收光后激发,然后单线态分子返回到基态,即发出荧光。荧光POF 按折射率分布结构分类,可分为荧光SI POF 和荧光GI POF,掺杂有机染料的POFA最重要特性是在宽波长范围内提供高功率输出。荧光POF的传光原理示意图如下,它满足一般的SI 型光纤的传光特性,但入射光的波长不同于出射光的波长。

  荧光POF还有另一种传光方式,这就是入射光可从侧面照射荧光POF,出射光从光纤两端面出射,当然入射光的波长不同于出射光的传输波长。

  荧光材料的光特性主要依赖于基质材料,荧光POF增益放大特性同泵浦波长、荧光POF长度及所用掺杂剂和浓度有关。所谓增益G是指POF输出信号光功率Pout与输入光功率Pin之间的一种比值。

10 . 结语
  POF之所以能传光是因为光纤具有芯皮结构,光在POF中传输是按全反射原理进行传光的,光在SI POF中的传输方式为全反射式锯齿型,光在GI POF中的传输方式为正弦曲线型;同时为了简化计算,选用子午线进行了参数计算,子午线就是光线的传播路径始终经过光纤轴并在同一平面内,这些参数计算包括最大入射角或发射光角度、数值孔径、子午线在阶跃型光纤中的几何行程及反射次数;侧面发光POF和荧光POF也是按全反射原理进行传光的,对于单芯侧面发光POF多是由非固有损耗导致侧面发光,而对于多芯侧面发光POF则是由弯曲损耗产生侧面发光的。荧光POF经过特定波长光激发后发出特定波长的光,而且激发光不仅可从端面入射,而且可从侧面入射。


参考文献

1. 江 源,刘玉庆.塑料光纤的发展史[J].广东照明电器,2003,(5):21-24
2. 邮电部武汉邮电科学研究院编写组.激光通信[M].北京:人民邮电出版社,1979.14-20
3. 杨同友.光纤通信技术[M].北京:人民邮电出版社,1986.31-54
4. 徐大雄.纤维光学的物理基础[M].北京:高等教育出版社,1982.6-16
4. 项仕标,冯长根. 光纤的能量传输特性及应用[J].光学技术,2002,28(4):341-342
5 Janis Spigulis, Daumants Pfafrods, Maris Stafeckis,Wanda Jelinska-Platece. The “glowing” optical fiber designs and parameters[J]. SPIE ,1997,2967:231-236.
6. 江源,邹宁宇.聚合物光纤[M]. 北京:化学工业出版社, 2002.129-140

时间:  2006-6-25 20:36
作者: qqqq

我国铁路信息化工程的建设与应用
摘要:回顾了2001年我国铁路信息化工程在TMIS、DMIS和通道建设中取得的成绩;介绍了TMIS方案调整的总体思路和基本要点;提出了2002年铁路信息化建设的主要任务。
  关键词:调整;成绩;TMIS;DMIS;通信网

  2001年12月21—23日全路信息工程工作会议在济南市召开,铁道部副部长刘志军到会并作了重要报告。铁道部电子计算技术中心主任李中浩、铁道部基础部副主任胡东源和铁通公司总裁彭朋分别就TMIS、DMIS工程和通道建设作了专题报告。济南、柳州、北京铁路局,羊城铁路总公司,中国铁路通信信号集团公司研究设计院和南京铁路分局的代表分别汇报了各自单位的信息化工程建设情况。铁道部总工程师王麟书作大会总结。参会的300多位代表分组对铁路信息化建设展开了热烈的讨论,会议期间还参观了济南铁路局电子计算中心。
  这次会议的主要任务是:贯彻党中央国务院领导关于大力推进信息化建设的重要指示和铁道部党组、傅志寰部长关于加快铁路信息化建设步伐的要求,总结和部署TMIS、DMIS工程和通道建设工作,进一步动员全路通力合作,加快建设步伐,搞好综合应用,完善保障体系,早日完成建设任务,充分发挥运输信息在铁路改革与发展中的重要作用。

  一、2001年全路信息化工程取得的成绩

  1.TMIS建设和应用进一步加快
  (1)从3个方面对TMIS总体方案作了调整。第一,按照运输组织的要求,把过去原始信息由站段直接报中央系统,改变为原始信息层层落地,在铁路分局、铁路局、铁道部3级建立原始数据库;第二,在站段、铁路分局、铁路局、铁道部4级对TMIS原纵向的各子系统进行整合,实现信息的共享和综合应用;第三,规范计算机网络,采取有效措施,保证网络和应用安全。该调整方案通过了铁道部科技教育司组织的评审。
  (2)进行了3级建库及综合应用开发试验。在有铁路分局的济南局和直管站段的柳州局分别进行了建立货票、确报车号自动识别原始信息库并开展综合应用的试点,取得了良好效果。
  (3)确报系统工程设计确报站数为886个,截至2001年10月底,累计完成852个。确报系统自投入应用以来,运行基本稳定,各局管内有效报率达到95%以上,大部分局间交换有效报率达到100%。
  (4)2001年完成了1700个制票站统一软件的升级。全路设计制票站数为1211个,现在货票系统覆盖了2557个微机制票站。通过软件升级实现了全路制票软件统一,联网站实现货票信息自动上报,进一步提高了货票信息上报的完整性、及时性和准确性。目前,全略微机制票率达到99.5%,报部率达到97%。
  (5)货运营销与生产管理系统运行稳定。目前,全路1487个货运站、各铁路分局、铁路局和铁道部实现了联网运行,在计算机网上完成了货运计划原提的提报和集中、随时自动审批以及审批信息自动下达。所有原提和审批信息都能收集到铁道部数据库中,为加强铁路货运营销提供了科学依据。技术计划的软件开发基本完成,并在沈阳铁路局进行了试点。
  (6)集装箱追踪系统正式投入应用。从2001年3月1日起已将609个集装箱办理站全部与中央系统联网,各站的集装箱装车清单、卸车清单、空箱回送清单及日况表信息通过计算机网络传送到中央系统,实现了集装箱的简易追踪和费用的清算。
  (7)车号自动识别系统一期工程建设基本完成局间及分局间分界口设备的安装,铁道部和多数铁路局、分局都建立了车号信息库,在传输到部数据库的路局分界口信息中,已记录了近43万辆部属车和7万多辆企业自备车的动态住处。从2001年7月1日起,开始利用车号自动识别系统的信息,结合运输18:00现在车统计报告,对各铁路局货车使用费进行清算。
  (8)车站综合管理信息系统建设完成了统一软件版本,大中型车站基本完成了车站信息系统建设。截至2001年10月底,全路49个编组站全部建成信息系统,95个大型区段站有86个建成信息系统,36个大型货运站有22个建成信息系统,136个中型区段站有132个建成信息系统,267个中型货运站有170个建成信息系统。
  (9)分局调度系统开始推广。完成了适应运输调度生产需要的软件版本,通过了铁道部科技鉴定,并在羊城、长沙总公司和石家庄等分局投入使用。
  (10)2001年基本完成了甜—路局、路局—分局的2Mb/s专线网建设,应用也已切换到高速网络,为铁路信息化建设提供了基本的网络平台。

  2.DMIS一期工程建设取得重要进展
  DMIS一期工程建设的标志是:在全路运输最繁忙的沪宁线上,南京铁路分局管内实现了DMIS的全部功能。DMIS采用无线车次号自动校核系统实现了对调度区段内所有列车车次号的自动采集、自动校核和自动跟踪,根据电气集中的进路排列和信号显示以及自动闭塞轨道电路的实际占用状况,准确地反映车站、区间的设备状态和列车位置,实时地采集列车到发点时刻,自动统计列车正晚点时分,自动下达日班计划和调度命令,自动生成车站行车日志、自动生成实际运行图和列车运行调整方案,为保证行车安全、提高调度水平、实现透明指挥提供了有力保证。
  目前,全路DMIS一期工程范围内的7个铁路局调度指挥中心,14个铁路分局调度指挥中心以及京沪线、京广线、京哈线南段、京九线北段的干线基础信息采集网络的硬件设备已全部安装完成,18个局间分界口的DMIS网络设备也已全部安装并开通运用。DMIS一期工程中完成了对落后的干线调度系统的数字化改造。当前,铁道部调度中心和14个铁路局的200多个调度台、15套多媒体会议系统及多通道数字录音系统已全部开通使用,全网采用数字通道,具有迂回功能,运用情况良好。


 3.通信网建设成效显著
  (1)组织实施了五大干线高速、宽带光接入网“畅通工程”。加大了运输繁忙干线的投资力度,在京广、京沪、京九、京哈、陇海等繁忙干线上,组织实施了“畅通工程”,实现了站站Nx2Mb/s和Nx64kb/s的综合接入能力,达到特等站每站配置10个以上2Mb/s端口,一、二等站每站配置6个2Mb/s端口,其他车站每站配置3—5个2Mb/s端口。在五大干线上共新增了13598条2Mb/s接入端口,能够满足铁路运输和各类信息系统对接入带宽的需求,并留有适度余量。
  (2)组织实施了无线列调改造工程。加大了无线列调改造工程力度,共安排浙赣、焦柳、京原等37条线的技术改造和新建,建设重点集中在400Mb/s改造、加强场强覆盖、克服弱场强区段以及对襄渝线的改制等。
  (3)组织实施了京沪线DMIS无线车次号工程。安排了661个车站、3500台机车工程任务,现已完成京沪线230个站、1280台车无线车次号设备的安装和调测。全面实现了始发站车次号自动输入和中间站自动校核,确保了车次号的准确和自动跟踪。
  (4)加大了区段数字调度系统和铁路应急通信网络的改造力度。2001年安排京广线北京一柏庄、京山线北京—秦皇岛、京包线北京—大同、襄渝线达渝段共计1550km、177个车站的区段数字调度系统改造和新建。全面启动了铁路应急通信网络建设工程,目前已经完成全路静图系统改造,应急系统正在组织方案设计与前期实施,预计2002年全面完成。
  (5)解决铁路通信“三年攻坚战”遗留问题。

  二、2001年TMIS总体方案的调整

  1.方案调整的总体思路
  TMIS方案调整的总体思路有以下3点。
  (1)原始信息3级建库。原TMIS设计方案要求原始信息由站(段)系统直接报送铁道部系统。调整后的设计方案强调原始信息从车站逐级上报、落地和转发,在分局、路局和铁道部分别建立原始信息数据库,方便各级运输组织和各个管理部门对原始信息的共享应用。
  (2)4级系统横向整合。调整后的设计方案强调在铁道部、铁路局、铁路分局和基层站(段)实施系统间的横向整合,以便满足各级运输组织和各个管理部门更综合和更深层的需求;调整后的设计方案进一步加强了路(分)局应用建设和信息共享,以此提高TMIS在各级运输组织和各个管理部门中的应用效果。横向整合方案的设计是全方位的,包括了铁道部、铁路局、分局和站(段)各级系统;横向整合方案的实施是分阶段的,将在系统层、数据层和应用层以渐进的方式展开。
  (3)网络体系分层优化。调整后的TMIS网络体系结构在多种水平和规模上广泛地采用了层次化网络设计模型。广域网划分为主干网和基层网;机关局域网设计成安全生产网、内部服务网和外部服务网。

  2.方案调整的基本要点

  (1) 系统目标
  TMIS通过计算机网络从全路2000多个信息站,实时收集列车、机车、车辆、集装箱以及所运货物的动态信息,对列车、车辆、集装箱和货物进行节点式追踪,为全路各级运输管理人员提供及时、准确和完整的运输信息和辅助决策方案,实现紧密运输、均衡运输,提高运输生产效率,改善客户服务质量。TMIS建设的根本目的是为了促进客货营销、加强运输管理和深化体制改革。
  (2)系统定位
  ① TMIS与铁路信息化的其它信息系统间有着密切的联系,TMIS系统为财务、统计、机务、电务、工务、车辆、物资等部门业务管理信息系统以及办公自动化、社会化服务、决策支持等综合管理信息系统提供及时、准确和完整的运输生产信息。
  ② TMIS工程建立和完善了铁路信息技术基础设施,包括环境建设、网络通信、系统平台、人力资源和工作流程等,为铁路信息化建设的持续发展提供了良好的技术条件,带动和促进了其它信息系统建设。
  (3) 系统体系结构
  坚持集中与分布相结合,实时处理与批处理相结合的系统建构,纵向业务功能系统与横向综合应用系统相结合的原则。在这些原则指导下,引入先进的信息技术应用范式,并将互联网技术引入企业信息系统,广泛地采用了基于Web服务器的应用开发技术和以浏览器为主要形式的人机界面。采用了先进的数据库管理系统,完善数据组织,减少冗余度,提高共享性,对TMIS数据库设置进行了系统的和科学的分类,规范了各类数据库的内容和建置原则,强调原始数据库是3级建库的基础,动态数据库是3级建库的核心。
  (4) 网络体系结构
  ① 采用层次模型对TMIS网络体系结构进行了全面调整。TMIS广域网结构分成骨干网和基层网,分界点设在分局;TMIS机关局域网分成安全生产网、内部服务网、外部服务网,3网之间通过动态物理隔离、防火墙和VLAN等技术实现相互隔离。
  ② 要求增加局间和分局与路局间的迂回信道,拓宽铁道部—路局的信道,以此增强骨干网络的可靠性;综合运用X.25、数字专线、模拟专线、帧中继信道和信道化E1线路连接分局与站(段),扩大站(段)联网的覆盖面。
  ③ 优化骨干网路由结构及路由策略,将铁道部、14个铁路局(集团公司)和西安、武汉、徐州3个分局的骨干路由器纳入路由结构的核心区(OSPF0域)。
  ④ 网络通信协议,规范了IP地址分配方案;制定了统一的域名设计规则。
  (5) 原始数据采集
  ① 原始信息逐级上报。原始信息在站(段)产生后,沿车站、分局、路局和铁道部方向逐级上报、建库和转发。
  ② 原始信息实时上报。联网报告点通过车站系统实时报告原始信息;配有车号自动识别设备的车站通过  AEI自动采集列车到/发信息,经由车站系统实时上报;有条件的分局也可通过DMIS自动采集列车到/发点,经分局调度系统实时上报。
  ③ 原始信息集中上报。非联网报告点的原始信息通过车务段系统收集并集中上报;有关行车信息也可通过分局调度系统收集并集中上报。
  (6) 运行保障体系
  TMIS系统运行保障体系包括基础数据维护、运行生产调度、联机用户支持、网络管理、系统管理、应用管理、安全管理、设备维修、远程教育等。调整方案从工作流程、组织结构和技术选择等方面为各子系统确定了总体框架。
  (7) 标准化和规范化
  规范系统软件平台,统一用户操作界面,统一基础数据字典,实现编码信息规范化,系统接口标准化,应用软件产品化。
  (8) 可靠性和安全性
  TMIS调整方案从管理意义上给出了TMIS安全策略和安全事件处理程序的基本框架;从技术层面提出了TMIS安全解决方案,包括物理环境保护、网络安全设计、系统安全设计、应用安全设计、用户安全管理、访问安全控制、攻击防御、病毒防治和安全评估等。
 三、2002年铁路运输信息化建设的主要任务

  1.要搞好分局调度系统TMIS、DMIS的结合
  TMIS和DMIS在分局行调台上存在功能交叉的问题,2个系统目前都将进入应用推广阶段。铁道部决定以确保安全为前提,以互补、信息共享为目标,对2个信息系统进行结合,充分发挥信息系统的整体效能。系统结合的原则是,统一用户需求、统一技术条件、统一操作方法、统一显示界面、统一数据格式和通信协议。在结合TMIS和DMIS各自优势的基础上,尽快形成统一、标准的结合软件。统一的结合软件应具有通用性和适应性,既能满足已实施了DMIS的区段,又能适应尚未实施DMIS的区段,同时也能满足分局、路局、铁道部纵向3级信息共享的要求。

  2.要基本完成TMIS工程建设
  (1)抓好2级建库和4级综合应用。各铁路局和分局要在适当扩充硬件资源的基础上,抓好原始信息的采集和建库的推广完善,采取有力措施,保证原始信息的准确性、及时性和完整性。财务部门要尽快完成财务收入审核统一软件的推广,结合对货票的审核,把好货票信息上报质量关。
  (2)铁道部成立了TMIS工程验收委员会和TMIS工程验收办公室,并制定了验收细则和标准。2002年,各铁路局要按照铁道部的统一部署,尽快完成货票和确报工程的验收,并逐步完成已经投入应用的系统验收工作。
  (3)车站系统中没有建成信息系统的大型区段站有9个,中型区段站有4个,小型区段站有37个,大型货运站有14个,中型货运站有93个,小型货运站有661个。2002年上半年要完成所有新建车站系统的建设,2002年底前完成所有需改造车站系统的建设任务。
  (4)2002年,在京广、京沪、京哈和陇海四大干线建立分局调度系统,尽快发挥系统效益,非四大干线也要完成分局调度系统的试点工作。路局调度系统正在广州铁路(集团)公司进行试点,2002年在全路进行推广。
  (5)实现大节点式的货车追踪。利用车号自动识别系统实现对车辆、列车、机车和集装箱的动态追踪,进而实现相关的查询和综合统计应用。
  (6)货运营销和生产管理系统已投入运用,2002年一季度要完成版本升级和技术计划的推广使用。

  3. 要加快DMIS工程建设步伐
  2002年全面完成DMIS一期工程建设,包括部调度指挥中心扩容和联调,有关路局和分局DMIS中心建设,加快无线车次号校核系统工程实施,并完成DMIS基层入网改造。确保二期工程取得重要进展,力争2003年建成包括部中心、14个铁路局、33个铁路分局DMIS中心,覆盖27条主要干线和51个局间分界口的全路DMIS网。
  DMIS二期工程的主要内容是:建成包括7个铁路局、17个铁路分局DMIS中心、23条主要干线和33个局间分界口的DMIS网络。目前,DMIS二期工程的设计文件已基本完成,各有关铁路局要按照部统一安排,全面组织实施,力争2003年底前建成投产。

  4.要进一步加大信息通道建设力度
  (1)继续实施接入网“畅通工程”。除了2001年已经建成的五大干线接入网之外,还要在信息源点密集、需求大的路段优先发展光接入网;在其它地区和路段也将根据实际情况,制定科学、具体和可行的措施,采用多种方式和手段(如卫星通信系统)解决信息源点的接入问题。
  (2)启动“端口接入工程”。“端口接入工程”是“畅通工程”的延续和完善。解决直接面向运输服务的TMIS、DMIS、PMIS等信息系统的下部线接入问题,制定出完善的端到端的通信网络建设方案和实施计划,彻底改变各种信息系统接人的“瓶颈”问题。
  (3)虚拟专网建设(VPN)。在充分满足3个信息系统端口需求的基础上,铁道部电子计算技术中心、铁道科学研究院和信号部门配合建设TMIS、DMIS、PMIS等信息系统虚拟专用网,提供具有完整的网络管理功能,安全防护功能和故障自愈功能的信息系统虚拟专用网,充分确保专用网络平台的安全性、可靠性、可管理性和自愈能力,实现包括骨干层传输通道在内的自动保护和快速恢复,重要接入路由实现冗余备份,用户端口的热备切换实施3级保护。
  (4)专用通信网管建设。为铁路信息化所需的各种信息系统提供网络化、智能化、可管理的专用通信网络服务平台,全面提升铁路信息化水平。
  (5)结合PMIS售票中心的建设和对通信通道的需求,建设铁通公司城域网的传输和介入系统;充分利用现有资源采取多种手段(如卫星地面站),解决部分接入困难的通信通道问题,根据实际需求,将原有的明线区域改为光缆;开展移动信息中断与固定网的联网工程(GSM-R无线通信的试点)等。
  (6)铁通公司为铁路运输和信息化提供通信服务的任务,一定要在2002年7月底以前按质按量完成,以确保各MIS系统的顺利实施。




本文关键词:铁路 信息化 工程 建设 应用 

时间:  2006-6-25 20:38
作者: qqqq

开放结构数控系统网络化应用开发平台的构建
1.引言

数控技术作为未来先进制造技术的核心内容之一,正在朝着开放化,网络化,柔性化和智能化方向发展,数控装备产品的设计制造和应用开发都日益显示出基于开放接口标准的模块形态。基于模块和组件的系统构建策略更能体现产品设计制造过程中的人性化思想,每一个模块都是一个有针对性应用领域的技术产品形式,是该领域技术原理,应用方案和实现形式的综合体现,是其在数控加工环境下的具体应用,其设计理念和性能指标都体现数控加工技术的要求和市场应用的需求,这些充分体现设计者个性化的产品组件通过开放的标准接口形式有机的结合,组成了功能丰富性能完善的数控装备产品。
数控技术是一个综合性很强的技术学科,涉及系统控制,工业设计,机械结构,变频调速,网络通讯,信号分析等范围很广和适用性很强的技术领域,这些技术原理在工科学校的机电一体化教学中都有涉及,但在应用实践上相对分散,目前只注重在数控操作技能上的能力培养,一系列的计算机辅助设计制造软件也都是针对于这一目标,缺少一个贯穿于整个数控技术领域中的开发应用环境,来从系统规划的高度和应用开发的层面来实施数控技术能力素质培养的目标。
正是针对于这一数控技术培养模式的局限性,本文建立了一个针对于整个数控技术应用开发领域一体化实验平台,采用组件和模块的思想建立了一个集成的设计开发环境,实现从数控装备产品规划,方案选择,运动算法和人机交互等各个环节的教学实践活动,下面将从总体策略,结构特征,关键技术等几个方面给予阐述。

2系统组建策略
2.1数控系统的组成

在这里我们将一般数控系统的概念广义化,定义成由控制器,机械结构,伺服单元等三个主要部分组成的产品模式。控制器就是我们通常所说的计算机数控系统,它由专用或通用计算机硬件加上系统软件和应用软件组成,完成数控装备的运动控制功能,人机交互功能,数据管理功能和相关的辅助控制功能,是数控装备功能实现和性能保证的核心组成部分,是整个数控体系的中心模块。机械结构是展现控制器运动控制功能的执行机构和机械平台,如数控机床系统中的铣床、车床和加工中心等机械部分;数控机器人系统中机械手和机械臂等。机械结构根据具体应用场合的不同,具体形态千差万别,但都可以按照运动学和动力学方法简化成运动机构的各种组合形式,这种组合越复杂其对控制器的能力要求就越高,同一种控制器可以完成对不同机械结构的控制,同样一种机械结构可接受不同控制器的控制,这说明机械部分和控制器组合起来可形成形式多样的产品类型。伺服单元是连接控制器和机械结构的控制传输通道,它将控制器数字量的指令输出转换成各种形式的电机运动,带动机械结构上执行元件实现其所规划出来的运动轨迹。伺服系统包括驱动放大器和电机两个主要部分,其任务实质是实现一系列数模或模数之间的信号转化,表现形式就是位置控制和速度控制。在此基础上,随着开放式数控技术的出现,数控系统体系具备了自我扩展和自我维护的功能,这得益于各种二次开发手段提供了自由完善和自定义系统软硬件功能和性能的能力。因此,开放数控所特有的二次开发平台也作为一个新的组成部分融入了数控系统体系结构中,并在深刻改变着传统数控系统的结构特征和应用方式。

2.2应用开发系统组成和功能规划

本文所建立的一体化数控系统应用开发平台,完成对上面四个组成环节的统一管理控制,系统规划,设计开发和仿真校验流程,其组成结构如图1所示。系统组成规划模块完成所需数控装备产品的单元组合,功能规划和性能规划;机械结构设计模块完成对机械执行机构的物理建模,动态性能仿真,实体造型,结构绘图和工艺设计;伺服单元控制模块完成伺服系统的选型,位置控制规划,速度调节规划;运动规划控制模块完成运动轨迹规划,插补算法设计和仿真,控制策略设计和仿真;人机交互管理模块完成人机交互界面的设计和实现,数据管理和通讯功能。

整个应用开发系统的每个模块都分为应用和开发两个部分:应用部分针对于现有的系统模式和控制方法,从熟悉、使用、理解角度出发通过相应的软硬件技术手段实现对现有技术资源和产品资源的消化吸收;开发部分在应用部分的基础上,针对应用中发现的问题和产生的创意,对数控系统体系的某些组成环节进行旨在提高其性能和丰富其功能个性化的二次开发并提供进行这种二次扩展的软硬件技术支持环境。
应用开发系统以硬件调试平台和集成开发软件两种形式组合展现,硬件部分主要包括典型特征的机械结构实体和伺服单元实体,以及控制器的硬件实体部分,主要是满足对硬件组成部分的扩展和对软件应用开发的效果体现;集成开发软件是个基于PC机系统的统一的资源管理配置和开发调试环境,满足从系统规划、控制策略、人机交互和扩展应用等各主要环节的开发过程。集成开发软件由多个功能单元组成,既包括内嵌的功能单元也包括可与第三方软件进行通讯和数据交换的接口,这使得系统软件的可以灵活的集成到别的软件中或将其他优秀的组件集成到统一的开发环境中。系统采用网络化分布式的模块组合形式,使得多个模块可以分散地工作在不同的平台上,而且通过计算机网络远程共享彼此的数据资源和相对集中的同一个硬件资源。

2.3应用开发系统构建策略
应用开卡系统的构建始终遵循应用与开发紧密结合的原则,按照层次化教学的思路,从软件和硬件两个方面来规划实现不同规模的应用开发系统。

2.3.1应用与开发相结合的策略
熟练应用现有的技术方案是进行创新开发扩展的基础,而个性化开发扩展也是进一步加深对相关技术理解使用的有效途径,二者相辅相成,必须贯穿于整个数控技术的教学过程中。从应用角度将现有的技术和资源以可交互的方式体现在统一的软硬件平台中,是构建系统时的一个核心任务,为此我们充分采用了多媒体技术。首先集成了应用准备阶段所需的文档和图片资源,涵盖技术背景、技术原理、应用例程和产品资源等多方面内容;其次针对于其中涉及的操作实践环节,依托于某些具体的典型产品,建立了一整套操作仿真系统,实现对真实系统功能和操作的全真模拟,从技能层次加强对某种技术资源的深入理解。从开发角度将现有的各种计算机辅助设计制造手段有机集成是实现各个环节开发的有效途径,开发从仿真阶段开始,如机械结构的造型和动态评测,伺服单元的调速和位控测试,控制算法的轨迹规划曲线等;然后再进入到实际的硬件配合调试中,具体验证执行机构的运行特性;涉及到硬件结构扩展的部分,则需要从电路设计,逻辑测试方面去实践。

2.3.2结构和流程的层次化策略
根据不同的教学实验阶段和不同的教学目标,搭建相适应的应用开发环境和实现层次化系统结构是贯穿于应用开发系统功能规划和模块组建过程的重要原则。依赖于模块化的构成特征,用户可对系统进行策略配置改变应用和开发的难易水平、应用范围和流程顺序。如对处于原理性熟悉阶段的教学活动只保留系统组成中数控系统体系规划部分;对处于技能性培训阶段的实验活动可增加某些典型产品的仿真操作系统;对于控制能力实践阶段的开发活动又可以再增加运动规划控制模块等一系列逐层扩充功能的应用开发步骤。

2.3.3软件和硬件紧密结合策略
以往的教学活动只从上层控制软件的角度开展数控技术的教授,使得学生对于具体完成功能的硬件部分结构缺乏足够的认识。这种不明确造成了很多情况下对一些控制思想和控制算法的理解模糊,因为很多软件算法的形成都是跟底层硬件特征密切相关,特别是涉及到多种硬件平台的时候,这种相关性就更加明显,因此加强对硬件一定程度上的深入理解是机电一体化教学的必然要求。
应用开发系统采用两种模式来实现这一目标:第一种是硬件仿真模式,即为特定的典型硬件结构建立一个由软件虚拟的硬件层。硬件层以硬件电路图框的形式展现,其输入输出口可进行交互,以此来模拟整个硬件部分工作时的信号流程,并可像真实硬件一样接受软件算法的代码控制。第二种是建立模块化的硬件单元框架,以真实的硬件模块封装后加入到系统结构中,模块之间采用便于安装和检测的接口,以此来实践系统硬件部分的实际搭建能力。

2.3.4与实际产品相结合的策略
对现有的产品资源是消化吸收是进行独立个性化开发的重要手段,特别是一些技术成熟度高、技术资源丰富的产品更是应该广泛进入教学活动的过程中,让市场产品的发展态势来影响教学和实践活动的指向和重点。为此我们充分运用了互联网上的丰富资源,将众多数控技术厂商的网站集成到应用开发系统的资源模块中,并制作了可进行交互处理的资源向导。另外我们还专门制作了行业性专业网站——中国机床工具网(www.chinamachinetools.com),该网站已全面运作并积累了丰富的产品资源 。
3.关键技术及其实现

引导型应用和开发模式

层次化的教学模式要求应用开发活动有一个可依附的实践模板,它体现一种交互式的资源响应机制,对学生的实践活动作出引导和评价,并提供获取相关资源的渠道。本系统所建立的引导环境是一种浮动式内嵌帮助平台,它底层以数据库的形式作为资源实体,按照具体应用开发的层次和场合,主要采用交互对话模式,符号描述模式,精灵向导模式三种手段来集中或分散地展示资源。交互对话模式是采用工作步骤预定义的方式,将一些比较成熟的应用开发流程的顺序和内容固定下来,以对话框的形式体现配置环境,最后展现出整个过程的信息结果。符号描述模式采用自定义编程语言的模式对一些需要验证的软件算法和控制流程进行规划,它有别于一般通用的编程语言,只是针对于具体应用场合采用特征描述的方式搜集特定的信息表示,与其所连接的资源数据库进行交互后,给出算法或流程运行的结果和评价。精灵向导模式是提供一个实时在线的帮助信息窗口,该窗口具备智能化的交互形式,可自动根据当前所处的状态提供出相关的引导型帮助信息,并具备自学习的记忆模式,按照用户的应用开发进展调整引导的策略。
图2所示的是针对与控制器部分建立的引导型开发平台的结构,借助于预先定义的各种信息库,将使用特殊语言描述的用户功能要求转换成信息库中特定策略的组合,然后通过与控制器的微控制核心相匹配的代码编译器,将策略描述翻译并通过计算机的并口经由下载电缆传送至控制器的仿真开发接口。控制器内部存在一个与之相对应的仿真开发专门存储区,用于用户订制功能代码的在线校验,该存储区与正常数控程序存储区相互屏蔽,保证二次开发的安全性,并通过校验策略和评价机制返回二次开发的性能指标。

网络化分布式应用体系

网络为分布式资源的集中利用提供了有效的共享途径,经由互联网的交互式通讯机制和监控诊断机制为应用开发系统的远程教学活动提供了安全可靠的媒介。模块化组件、开放式接口和分布式互连三个关键技术实现了这种网络化的应用开发环境。其中模块化组件是基础,分布式互连是形式,开放式接口是连接手段。功能组件的模块化是基础,是对特定功能单元的软硬件进行封装的实体,具备明确定义的交互形式;分布式互连是个单元模块的运行模式,通过网络的连接使分散在不同平台上的软硬件建立起通讯和一种层次化的控制策略,并采用网络激活的技术方案动态配置整个网络中各模块资源的运行和响应特性;开放式接口是各个模块之间的连接通道,接口的开放特征体现在单一模块的多接口和分层次接口两方面:如运动控制单元模块具备伺服电机驱动、步进电机驱动和直线电机驱动三种接口,可按需要配置激活或屏蔽;又如运动轨迹算法模块具备表层的速度加速度曲线配置接口,中层的特定曲线轨迹插补算法定义接口和底层的运动规划策略定义接口三个层次。
图三展示了一种基于校园局域网和互联网的应用开发系统工作模式,从事机械设计,伺服规划和运动控制交互的三个实验室内部的每台计算机上运行着不同的模块单元,并通过局域网共享数据资源;另一方面三个实验室又通过校园网进行连接,实现各教学环节的有机联系,再加上互联网络,系统的功能便可拓展的远程监控领域。

结束语

采用模块化组件技术建立的开放结构模块化数控系统应用开发系统通过组建校园局域网的形式在天津大学机械学院的数控技术教学活动中得到了应用,在交互式学习中取得了很好的效果。

参考文献:
1李德庆等.计算机辅助制造.北京:机械工业出版社,1994
2李伯虎.计算机集成制造系统约定、标准与实施指南.北京:兵器工业出版社,1992
3任仲贵主编.CAD/CAM原理.北京:清华大学出版社,1991
4向文.参数化特造型系统的研究.武汉:华中理工大学博士论文,1997
5TienChienChang,R,A,Wysk.AnIntroductionTo
AutomatedProcessPianningSysten.PrenticeHallInc,1985.
黄乃康等译.工艺过程自动设计导论。西北工业大学出版社,1988
6林汝新,徐弘山.机械制造中的CAD/CAM技术.北京:北京理工大学出版社,1990
7蔡力钢等.基于实例与知识的实用化CAPP工艺决策方法.中国机械工程.1994,Yol.5学刊:234236



本文关键词:开放结构 数控系统 网络化 开发平台 构建 

时间:  2006-7-6 08:14
作者: qqqq

连续实时信号处理器的性能分析
  






摘要:对AD公司的TigerSHARC DSP(ADSP-TS101S)和摩托罗拉公司的具有AltiVec矢量处理器核的PowerPC系列MPC7410和MPC7455处理器,在连续实时信号处理领域的应用进行了评估。

    关键词:连续实时信号处理 I/O带宽 ADSP-TS101S MPC7410 MPC7455

    对于复杂、实时信号算是系统的设计人员来讲,最严峻的挑战是针对给定任何选择一个最有效的处理器。因为处理器效率依赖于应用,涉及到结构和应用等各个方面,因此折中的办法很难定义和评估。用通常使用的方法评价处理器,往往误导人们。因为它掩盖了许多依赖应用并使实际性能下降因素;在不同的处理器上执行应用,然后评估每个处理器执行的实际性能,这种方法费用昂贵、花费时间,不切合实际。

1 处理器概况

    AD公司的TigerSHARC DSP(ADSP-TS101S)和摩托罗位公司PowerPC系列处理器代表了获得高性能计算能力的不同结构和方法。TigerSHARC代表DSP的传统做法,它具有低开销、确定性和DMA引擎等特点,专门用于开发嵌入式实时应用系统,例如雷达、声纳、无线通信和图像处理。相反,PowerPC是一种RISC处理器,用于开发副苹果计算机最高性能的G4工作站;具有很高的时钟频率以及强大的AltiVec矢量处理引擎,在一些嵌入式信号处理应用方面也取得了很大的成功。

    很明显,具有AltiVec核的PowerPC G4(74xx)具有较高的核时钟速率与性能。PowerPC的核时钟速率几乎是目前TigerSHARC的3.3倍(不久更快版本的TigerSHARC将发布)。AltiVec核每个周期执行单条指令,每128位向量包含4个独立的32位数据单元,这就是众所周知的SIM-D(单指令多数据)结构。当执行一次乘加(MAC)矢量运算时,达到峰值处理能力,每周期可完成8次浮点操作。对于1GHz的MPC7455,峰值处理能力可达8000M次/s浮点运算。AltiVec每周期能执行8次整数或定点操作,峰值整数运算能力为8000MOPS(百万次操作/s)。

    相反,TigerSHARC有两个独立的32闰处理器核,或称MIMD(多指令多数据)结构。每个计算单元每周期能执行一次乘法以及和差分运算,对于300MHz ADSP-TS101S每周期完成6次浮点运算或1800MFLOPS峰值运算能力。当执行16位数据运算时,TigerSHARC可以利用它的超标量体系结构,分离两个独立32位计算单元成2个单独的16位SIMD单元,这样每个操作在两个数据单元,每个周期可以增加超过12次的操作。另外,TigerSHARC有另外两个专门的16位整数引擎,每个周期可以增加超过12次的操作,这样每个周期共计24次整数运算,7200MOPS。

2 I/O带宽与处理能力的比值

    在许多信号处理的应用中,受限于数据流而不是处理能力,因此理解处理器I/O能力以及与处理器内核的数据交换的性能十分重要。衡量的尺度是I/O带宽与处理率之比(BPR),即处理器峰值I/O带宽(MB/s)除以峰值处理能力(MFLOPS)。1B/FLOP的BPR指示它是一个比较平衡的连续信号处理结构,意味着处理器对每个浮点操作能完成1B数据传输。一个处理器的BPR明显高于或低于1B/FLOP,表示这种结构比连续信号处理器更适合数据流搬移或后向数据处理。

    图1所示为PowerPC处理器节点方框图。从图中可以看出所有处理器I/O的访问必须通过MPC和控制器/桥芯片之间的64位,128MHz(对于MPC7455为133MHz)系统总线。对于MPC7410任何一个处理器的最高I/O带宽是1000MB/s,对MPC7455的最高I/O带宽是1064 MB/s。

    然而由于Altivec很强大,这种适宜的高带宽不一定总能跟上核的速度。当MPC7455执行8000MFLOPS时,数据搬移的速度仅为1064MB/s。BPR值只有0.13,说明这种结构的I/O带宽和处理能力是不平衡的。因此,PowerPC对块处理是有效的(比如具有高的计算和相对低的数据流动),但对连续的、高数据流动、较少计算的连续信号处理,是低效率的。

    TigerSHARC是为多处理器设计的,而且提供了64位、100MHz共享系统总线以及4个8位,250MHz的Link口作I/O和处理器之间的数据通信,簇总线的搬移数据速率为800MB/s。数据还可以通过Link口以50MB/s速度进行传送,每个TigerSHRC提供总的I/O带宽可达1800MB/s。TigerSHARC的BPR是0.1,表明对连续的信号处理是平衡的优化结构。

3 信号处理能力—cFFT

    1024点复数FFT(cFFT)是评价信号处理性能使用最广泛的基准。原因如下:第一,清晰而且容易易化;第二,在大多数应用中,它是最普遍使用的信号处理函数;第三,cFFT可以评估处理器的数据处理能力和处理速度。

    值得注意的是,由于PwerPC的速度和性能,在计算1024点cFFT有明显优越性;然而TigerSHARC是为DSP裁剪定制的,在执行信号处理算法时会更加有效。这是由于芯片具有极好的数据搬移的能力、平衡以及单周期执行蝶形运算能力(乘法、加法、差分)。AltiVec核比TigerSHARC核快3.3倍,潜在处理速率是TIgerSHARC的4.4倍,然而它执行一个1024点cFFT仅比TIgerSHARC快2.5倍。TigerSHARC在9750周期可以完成CFFT运算,而PowerPC必须用13000个周期,因此,在执行一个1024点CFFT时,TigerSHARC的计算效率比PowerPC高33%。换句话说,如果以相同的时钟频率运行,TIgerSHARC会超过PowerPC 33%。随着TigerSHARC时钟速率继续提升,考虑成本和功耗等问题,当它执行FFT信号处理应用时,它的能力要显明超过AltiVec。

4 连续的cFFT

    评价处理器能力时,通常考虑它的处理能力、I/O带宽,甚至算法的执行,但遗憾的是这些评估没有一个能真实反映实际应用。实际应用时,这些因素往往相互影响。数据必须按所希望的那样同时输入、处理、输出。每个1024点cFFT需要8KB数据输入(1024个样本×2个样本/IQ对×4字节/样本)和8KB数据输出,共16KB的数据流。通过比较1024点cFFT基准与16KB乘积与处理器的I/O带宽,来决定是受限于处理器的计算能力还是I/O带宽。

    对于TigerSHARC,其准的倒数表示每秒钟能执行30 769次1024点cFFT,由于TIgerSHARC在后台能搬移所需要的数据,需要有504MB/s的数据流(30769/s×16KB),可以保证处理器的I/O带宽,因此TigerSHARC完全适合如此应用。

    对于MPC7410,1024点CFFT其准其实是误导。因为它不能同时搬移数据和进行数据处理,而且在处理时间里,8KB的输入数据必须搬入高速缓存(cache),8KB的输出数据必须搬出的高速缓存(cache)。搬移数据需要增加16.4μs的处理时间,执行1024点CFFT共需要38.4μs 的时间。考虑到数据的租用移,1024点CFFT基准的倒数为1/38.4μs。

    然而对于MPC7455的情况不同,基准的倒数显示处理器内核每秒处理76 923次1024点CFFT,需要1260MB/s数据流量。尽管PowerPC进行处理的同时能搬移数据,但它的峰值带宽仅为1064MB/s,因此在这一应用中带宽受到了限制。假设它能连续保持峰值I/O带宽(cache管理和控制器瓶颈会明显减小I/O带宽,不在本文讨论管理),PMC7455每秒仅能执行64941次1024点cFFT(1064MB/s除16KB/1024点cFFT),明显比基准的倒数要小。

5 板极应用

    如上所述,目前可获得基于所有处理器cPCI和VME总线的COTS板。然而,当与板级应用相联系时,会大大改变以上的评估结果。

    因为MPC7455带宽受限,板级的结构会增加I/O的限制,进一步恶化处理器连续CFFT的性能。不考虑背板的数据流,对于PowerPC来讲,目前最好的I/O方式是两个64位/66MHz PMC,双528 MB/s PMC,可达到的数据流共1056MB/s。这已经小于MPC7455的1064MB/s峰值I/O带宽。实际上PMC达到连续、持续的吞吐率也是不可能的。假设1056MB/s持续的I/O带宽,PowerPC板持续1024点cFFTs为每秒64453次(1056MB/s被16KB除)——不依赖于PowerPC的数量或速度。

    相反,TigerSHARC具有通过link口可扩展的I/O,图2所示为典型的4个TigerSHARC处理器的结构框图。在此例子中,每个处理器必须共享一个簇总线带宽,每个处理器使用2个Link口作为处理器间的数据传输,每个TigerSHARC的其它2个Link被用做I/O。这样每个处理器I/O总带宽就减少至700MB/s(Link口2×250MB/s+1/4×共享簇总线800MB/s)。然而,对于每个处理器,在最大连续CFFT速率的情况下,TigerSHARC需要504MB/s的带宽。虽然这一速率在TigerSHARC极限范围,但把连续的I/O分裂成Link口和簇总线也是不切合实际的做法。实际上,对于连续CFFT的最大I/O数据率是500MHz,由每个TIgerSHARC的两个Link口提供。很小带宽的限制降低了连续1024点cFFT的性能,每个TigerSHARC能处理30 517次。TigerSHARC低功耗、小尺寸和功能的集成,目前可得到簇总线(8片TigerSHARC)6U cPCI板卡。8片TigerSHARC每秒能执行244 135次连续1024点CFFT运算,几乎是理想PowerPC板卡的4倍。

6 结论

    我们讨论的各种COTS板的应用,代表了连续实时信号处理应用的实际性能。对于其它因素的分析(如中断、开发环境、DMAs、存储器的利用、Cache管理、电源等)不在本文讨论范围。如果应用系统需要大量的计算、比较少的数据搬移和所谓的后向数据处理,由于较高的时钟频率和强大的内核,PowerPC是理想的选择;反之,对于像成像、雷达、声纳和监听等应用的连续、实时信号处理,由于需要比较高的数据吞吐率,TigerSHARC应该是首选。




时间:  2006-7-6 08:14
作者: qqqq

高级设计中的高密度AC/DC电源 .
   






    一个新的超小型高密度AC/DC电源系列满足了低端市场上先进电源方案的需要。这些开关电源的特点是高效率、高电流密度。

    这种电源不仅可节省电路板上的空间,使系统添加能实现其它功能的电路,同时可靠性更高(因为不需要散热扇),热性能和EMI性能也更为优化。它们还具有更大的设计灵活性,更好的产品封装形式以及更利于进行生产。

    过去,许多公司生产的产品都差不多,设计者没有什么可选择的,只能选低功率AC/DC电源。这些产品又大又重,平均效率不到70%,封装功率密度不到4瓦/立方英寸,电流密度也不大。一个60W电源的尺寸一般是3×5×1.4英寸或者更大一些,而一个100W的电源更是它体积的两倍,所占用的空间足够放得下另一块印制板。

    这些老式产品的实际功率输出比标称值低20%左右(如果不加风扇的话)。对于大部分这些电源产品来说,提高电流密度会导致整个输出功率和效率的下降。这种情况,给那些想改善工作性能、突破热性能极限的设计者带来了严峻的挑战。

新设计,新选择

    现在,设计者可以选择一种平均效率高于86%、封装密度达到10瓦/立方英寸(是业界平均值的三倍)、电流密度达到业界平均水平4倍的成品式电源。对于这种电源来说,增加电流对效率的影响几乎是微不足道的,而且还不会增加封装的尺寸。

    以EOS公司的VLT系列高密度电源为例,这是一个60W、对流冷却、三输出端开架式电源,尺寸仅有2×4×1英寸。该电源的功率密度是7.5瓦/立方英寸,重量不到6盎司。(如图所示)

    减小了电源的尺寸以后,即使是在一个要求苛刻的应用中,设计者也可获得全功率的冗余。两个采用电流共享的高密度电源,它们所占用的空间只相当于上一代单个电源所占用的空间。

    高密度电源能够满足飞速发展的工业对较低输出电压的要求(2.5和3.3V),同时还不以牺牲其效率为代价,获得了更高的电流密度。这些要归功于功率转换电路的优化、元器件的选择、散热条件的处理以及封装设计的优化。这种电源可以满足全球的安全性要求,具有很高的MTBF特性(超过150000小时),而且输入端都是通用的。

外部电源的进步

    类似的技术进步同样也出现在单输出端及多输出端的外部或台式电源上,这类电源被广泛地应用于计算机外设、便携式计算机以及通信类产品中,小得可以放进衬衣口袋中的45W电源就是一个很好的例证。




时间:  2006-7-6 08:14
作者: qqqq


差变专用集成电路SF5520的原理及应用

  

    SF5520是一种专门同差动变压器配套使用以进行测量与转换的单片式集成电路,它上有功耗低、体积小、安装容易、使用方便等特点。SF5520与差动变压器配合使用所组成的测量系统能在化电路的同时显著提高系统性能。它与SJ5520、NE5520性能相同,封装形式也一致,可以直接互换。SF5520能提供电感传感器所必需的低失真稳定正弦波激励电源,并能对传感器的输出信号进行高精度的相敏整流处理。同时,芯片内部相对独立的辅助运算放大器还可为实际应用增加更大的灵活性。
1 SF5520的引脚功能
SF5520采用14脚双列塑封,其管脚排列如图1所示。各引脚的功能如下:

1脚:辅助运放输出;
2脚:辅助运放同相输入;
3脚:辅助运放反相输入;
4脚:内部相敏整流器输入;
5脚:内部相敏整流器输出;
6脚:内部相敏流器参考信号输入;
7脚:接地;
8脚:二分之一基准电压;
9脚:信号发生器输出;
10脚:信号发生器输出(与9脚反相);
11脚:内部运放反相输入端;
12脚:基准电源;
13脚:信号发生器外接电容;
14脚:电源。
2 SF5520的结构原理
    SF5520的内部等效电路由滤形发生器、相敏整流器、偏置电路及辅助运放组成。图中,芯片内部的电阻除R7、R8为5kΩ以外,其余均为10kΩ。
    SF5520内部有一个谐波含量小于5%且具有一定负载能力的正弦波信号发生器,其输出可用于对差动变压器初级绕组提供驱动。它由三角波信号发生器B1、波形转换电路B2及信号输出级A1、A2等组成。三角波信号发生器的振荡频率与外接电容C1有关,通过改变该电容值可使输出信号频率在1~20kHz之间调节。振荡频率f与外接电容C1之间存在下列关系:
f=110/C1
式中:f的单位是Hz,C1的单位是μF。
    SF5520内部的相敏整流电路由运放A3、A4及可控电子开关B4组成。其中由运放A3组成电压跟随器,以提高相敏整流电路的输入阻抗;A4及电阻R1~R4组成换向放大器,其工作状态由电子开关B4控制;可控电子开关由输入信号控制,输入信号的不同极性可导致电子开关的导通与截止。
    偏置电路(B3)可为SF5520内部各单元电路提供稳定的工作电压,以保证电路能稳定可靠地工作,同时还确保输出信号的动态工作范围。
    此外,SF5520内部还设置一个独立的运算放大器(A5),该放大器可为实际应用提供极大方便。
3 SF5520的技术参数
SF5520的主要技术参数如下:
振荡频率:1~20kHz,由外接电容确定。
输出信号失真:小于5%,典型值4%。
输出电流:典型值15mA,最小值8mA。
外接负载阻抗:小于1kΩ。
输入电压:最小值4.5V,最大值5.5V。
输入电流:典型值300μA,最小值100μA,最大值1mA.
线性误差:典型值0.05%,最大值0.1%。
单电源:5~20V;双电源:±2.5~±10V。
电源电流:典型值15mA,最大值20 mA。
基准电压:最小值5V,最大值与电源电压相同。
增益:典型值为100000倍。
输入失调电压:最小值-10 mA,最大值+ 10mA。
输出电压摆幅:最小值1.5V,最大值为电源电压-1.5V。
输出短路电流:典型值50 mA。
典型功耗:小于220 mW,极限耗散功率为840 mW。
环境温度:0~70℃。
存储温度:-65~125℃。
4 SF5520的应用电路
    差动变压器式传感器属于互感式传感器。它本身是一个变压器,其原边是一个绕组接入激励信号,副边有两个相同的绕组反向串接以获得输出信号。铁芯的一端与被测物体连接,当被测物体移动时,就会因铁芯的移动使逼边两绕组与原边绕组的互感不同而引起输出信号变化。将SF5520与差动变压器配合使用可组成电动执行器中的位置发送器,其电路十分简单,且调试方便,性能优良。图3是一种可接浮地负载的位置发送器电路,图中,R3、C2组成移相电路,其作用是使相敏整流器的参考信号(差变的激励信号)与输入信号(差变的输出信号)相位一致,从而改善电路的性能,R3的参考值为20k℃,C2的参考值为0.02μF。R2、C1组成无源滤波电路,用于对相敏整流器输出信号加以滤波。辅助运放A5可与调恒流管CRD等可组成V/I转换电路,可对相敏整流器的输出信号进行转换,以输出0~10mA的直流电流作为位置发送器的输出信号。由图可知:
(IL+IH)(R0+RWL)=V5
IL=[V5/(R0+RWL)]-IH
式中,V5为相敏整流器输出端5脚的电压,IH为可调恒流管CRD的输出电流。由上式可知,改变IH可使电路的输出电流为零,因此,调节RWO可调节电路的输出零位,而改变电闰器RWL可调节电路输出电流的量程。图3电路能输出0~10mA的电流信号,可作为II型仪表中电动执行器的位置发送器。
    在图3电路中,由于位置发送器的输出端负载不能接地,这给某些应用带来不便。一种能对地输出0~20mA电流信号的位置发送器电路如图4所示,该电路既可用于II型仪表,也可用于III型仪表。图中,由SF5520内部辅助运放及电阻、电容等元件组成的二阶有源滤波器,可对相敏整流器的输出信号进行更有效的滤波,从而改善电路性能。由双运放LM358(A6、A7)组成的V/I转换电路,可将相敏整流器的输出电压转换电路信号,输出的直流电流信号可接地负载。电位器RW用来调节输出电流的零位,改变电阻R0可调节输出电流的量程。
    在上述应用电路中,差动变压器的激励信号的采用电压信号,这种方法的主要缺点是在环境温度发生变化时会产生测量误差。
    由于差动变压器绕组的阻抗在环境温度变化时也发生变化,因此,差动变压器采用电压源激励将导致其传递函数的变化,从而产生测量误差。改进的方法是差动变压器采用电流源激励,其电路如图5所示。
    图中,将SF5520的A1接成反相放大器,将负载两端电压VL反向后送到芯片的10脚,即由A2构成反相放大器的输入端,从而组成电压控制电流电路。为使差动变压器的初级绕组不含直流分量,芯片应采用双电源供电,使电流源为双向输出电流源。
时间:  2006-7-6 08:15
作者: qqqq


嵌入式处理器OMAP5910及应用3G的多媒体 .
   

  
双内核嵌入式处理器OMAP5910及其在3G的多媒体应用
摘要:OMAP591是一种新型的双内核嵌入式处理器,文章介绍了该处理器的主要特点及其硬件和软件架构。重点阐述了OMAP5910处理器在第三代无线终端领域的多媒体应用,最后给出了OMAP5910在嵌入式语音系统中的应用实例。
    关键词:OMAP5910 3G 嵌入式 无线终端 多媒体
    OMAP(Open Multimedia Applications Platform)是美国德州仪器公司(TI)推出的专门为支持第三代(3G)无线终端应用而设计的应用处理器体系结构。OMAP处理器平台堪称无线技术发展的里程碑,它提供了语音、数据和多媒体所需的带宽和功能,可以极低的功耗为高端3G无线设备提供极佳的性能。OMAP嵌入式处理器系列包括应用处理器及集成的基带应用处理器,目前已广泛应用于PDA、Web记事本、远程通信、医疗器械等领域。OMAP5910是OMAP系列的最新成员,它采用MCU+DSP双内核架构,具有新一代增强型多媒体应用所需的实时性能与更低功耗,并具有极强的数据处理能力和逻辑运算能力,在移动通信与多媒体信号处理及PDA方面有良好的开发和应用前景。
1 OMAP5910的基本特性
    OMAP5910采用独特的双核结构,把高性能低功耗的DSP核与控制性能强的ARM微处理器结合起来,具有集成度高、硬件可靠性和稳定性强、速度快、数据处理能力强、功耗低、开放性好等优点。OMAP5910应用处理器双核结构的主要优势在于:由于两个独立的组件来完成应用处理任务,其中MCU负责支持应用操作系统并完成以控制为核心的应用处理;而DSP则负责完成多媒体信号(如音频、语音和图像/视频信号)的处理。与单核结构相比,双核架构的一个明显优势就是可以使操作系统的效率和多媒体代码的执行更加优化并延长电源寿命;同时采用双处理器可以将总工作负荷进行合理划分,从而降低时钟工作频率,使系统的功耗降低至最低,成功地实现了性能与功耗的最佳场合。

1.1 OMAP5910硬件功能模块
    OMAP5910采用289管脚BGA封装。其硬件功能模块包括MCU子系统、DSP子系统、存储器管理单元(TC)、直接存储器访问单元(DMA)、两级中断管理器及丰富的外围接口等,其硬件架构如图1所示。其中DSP核、ARM核以及存储器管理单元(TC)这三个部分可以独立地进行时钟管理,从而有效地控制功能。下面简要介绍几个主要功能模块:
(1)MPU子系统
    MPU子系统中的TI增强型ARM925核是ARM RISC体系结构的先进代表,工作主频为175MHz。它包括存储器管理单元、16k字节的高速指令缓冲存储器、8k字节的数据高速缓冲存储器和17个字的写缓冲器。片内有192k字节的内部SRAM,可为液晶显示器等应用提供大量的数据和代码存储空间。ARM925核共有13个内部中断和19个外部中断,采用两级中断管理。此外,核内还有ARM CP15协处理器和保护模块。
(2) DSP子系统
    DSP子系统中的C55x DSP核具有极佳的功耗性能比,工作主频为200MHz。它支持无线网络传输与语音数据处理等工作,能提供高效谐振数据处理能力。C55x DSP核采用了在项关键的革新技术;增大的空闲省电区域、变长指令、扩大的并行机制。其结构针对多媒体应用做了高度优化,适合低功耗的实时语音图像处理。C55x DSP核还新增了图像位移预测、离散余弦变换/反变换和1/2像素插值的视频硬件加速器,从而可以提高数据处理速度,降低视频处理功耗。此外,核内还包括32k字的双存取SRAM、48k字的单存以SRAM、16k字的片内ROM和12k字的高速指令缓存。

(3)存储器管理单元TC
    存储器管理单元TC管理着MPU、DSP、DMA以及局部总线对OMAP5910系统存储资源(如SRAM、SDRAM、FLASH、ROM等)的访问。它的主要功能是确保处理器能够高效访问外部存储区,并避免产生瓶颈现象而降低片上处理速度。TC通过三种不同的接口支持处理器或DMA单元对存储器的访问,即:EMIFS、EMIFF和IMIF。其中EMIFS接口提供对FLASH、SRAM和ROM的访问;EMIFF接口提供对SDRAM的访问;IMIF接口提供对OMAP5910片内192k字节SRAM的访问。三个接口是完全独立的,从任何一个处理器或DMA单元都可以同时访问。
    此外,OMAP5910片内还集成了丰富的外围接口,如:LCD控制器、通用羿步收发器、脉宽音频发生器、存储器接口、摄像机接口、I2C主机接口、串行接口、主客户机USB口、安全数字多媒体卡控制器接口、红外接口、键盘接口等等。
1.2 OMAP5910的软件构架
    OMAP5910的软件结构建立在两个操作系统之上:一是基于ARM的Windows CE、Linux等操作系统;二是基于DSP的DSP/BIOS。连接两个操作系统的核心技术是DSP/BIOS桥,它是副县长OMAP5910的关键。对于软件开发者来说,DSP/BIOS桥提供了一种使用DSP的无缝接口,允许开发者在GPP(通用处理器)上使用标准应用编程接口访问并控制DSP的运行环境。利用TI公司的Code Composer Studio(CCS)集成开发环境,从开发者的角度来看,OMAP好像仅用GPP处理器就完成了所有处理功能。这样,开发者就不需要为两种处理器分别编程,这使编程工作大为简化。在OMAP体系结构下,开发者可以像对待单个GPP那样对OMAP的双处理器平台进行编程。而在开发多媒体应用程序时,也可以通过标准的多媒体应用编程接口(MMAPI)使用多媒体引擎,从而方便了应用程序的开发;多媒体引擎对相应的DSP任务通过DSP应用编程接口(DSPAPI)使用DSP/BIOS桥,最后由DSP/BIOS桥对数据、I/O流和DSP任务控制进行协调。
2 在3G无线终端领域的多媒体应用
    在3G无线终端设备中,OMAP5910的应用主要面向优化多媒体性能,并提升语音、音频、图像或视频信号处理 的应用性能。其具体应用包括:PDA、Bluetooth无线设备、Web记事本、数字媒体、移动商务、军事通信以及远程通信等,OMAP5910的应用领域如图2所示。
2.1 视频和图象处理
    目前,在多媒体应用中,视频与图像数据处理是一个不可或缺的重要方面。其于双核架构的OMAP5910处理器具有极强的运算能力和极低的功耗,在多媒体信号处理方面具有明显优势。如在视频应用方面,基于OMAP架构的MPEG-4视频软件以每秒15帧的速度同时执行图像编码及解码QCIF(176×144像素)时,仅使用了DSP核执行性能的15%左右。而剩余85%的性能仍可用于其它任务,比如图形效果的增强、音频回放或语音识别等。OMAP5910处理器不仅提供计算资源,而且还提供视频应用所必须的数据传输能力。当未压缩数据从照相机向外传送或送至显示屏时,一个争度分量按4:2:0的格式下抽样的QCIF帧需要38016字节。
    视频应用是OMAP5910处理器扩展无线终端功能的首批应用领域之一,它包括:双向可视电话通信及单向编码或解码、MPEG4音像压缩、JPEG静止图像压缩以及视频流等等。
2.2 语音应用
    用于像携设备语音系统时,DSP和RISC核的结合给OMAP平台处理器提供了极好的功率特性。RISC适合处理控制代码,如:用户界面、操作系统和高级应用;而DSP可处理更集中的语音应用,同时DSP具备语音应用所需的实时信号处理功能。微小特性的语音识别计算量比较密集,其引擎在高功效的C55x DSP上运行;而较大特性的语音识别其语法、字典及声模型生成元件等功能的计算量不密集,因此位于高性能ARM RISC核上。模型生成与识别模块之间的互动被减至最低,并通过API分层体系来完成。OMAP构架的优势在于需预先编辑或存储语法或模型就能在某些识别语境中处理新词汇。
    OMAP5910处理器采用DSP核与ARM RISC微处理器核同时进行语音识别的工作方式,其中DSP核负责大量数据的处理与语音识别算法的执行。而ARM RISC微处理器核所要处理的工作包括存放语音识别算法的描述、语音识别字库及计算机听觉的数据处理等计算量比较低的工作。基于OMAP5910处理器语音识别系统的程序结构如图3所示。
    图中:OMAP5910处理器通过输入/输出接口输入语音信号,接收到ARM RISC微处理器上执行的语音识别程序后,会依照语音识别的指令将语音数据通过OMAP5910 DIRECT DSP API接口传到DSP微处理器核中做数据运行,然后再将运行结果传回到ARM RISC微处理器中,从而完成语音识别的工作。
    同样,无线设备上的文本到语音系统也可以同时利用ARM处理器与DSP。TTS的文本分析、语言处理模块以及政府间数据库同位于ARM处理器上,而单元选择与波形生成模块都位于ARM上。与语音识别器一样,ARM处理器与DSP模块之间的互动减至最小,并通过API分层体系来完成。
    OMAP5910在语音方面的应用还包括:语音电子邮件、信息检索、个人信息管理、语音浏览、语音导航以及MP3、WMA和其他GSM语音的编解码等。
3 应用示例
    下面给出了一个基于OMAP5910双核嵌入式架构的语音系统的典型示例,它由TI开发,专门用于无线领域。InfoPhone是一个可实现主意功能的Java应用程序,同时它还可实现具有用信息的语音检索。TI为InfoPhone开发了三种基于语音的信息服务原型,如为用户提供股票报价、航班信息和天气预报。每种服务都包含50个词语的词库,因为具有动态词库功能,系统可以在词库间完美切换。应用设计使键盘输入在说话期间一直保持有效状态,从而为环境中断或者用户需要进行私密输入时提供灵活性。图4给出了InfoPhone示例中的语音识别架构。
4 结语
    OMAP5910处理器先进独特的双核结构使它不在2.5G/3G手持终端及PDA市场具有明显优势,而且还以其低功耗与优越性能的完美结合支持一系列广泛应用,如多媒体通讯、电视会议、视频流、高保真音频、定位服务,语音处理、生物特征识别、医疗设备、互动游戏以及个人管理等等。
时间:  2006-7-6 08:15
作者: qqqq

基于PLD的高速数据采集系统 .





摘要
     
    本文介绍了一种基于PLD的高速数据采集系统,主要阐述了系统的软件设计。 本系统使用FPGA作为数据采集芯片,主要对红外遥控码进行采集测量。系统以EDA技术为设计核心,采用DMA方式存取数据,实现系统高速的要求。

    1. 前言
     
    在多媒体教学的今天,多媒体课室的遥控教学设备在不断增加,如果能在多媒体课室的控制台上统一遥控这些设备,这将给教学带来极大的方便。
     
    遥控是通过红外管发送红外遥控码对其设备进行控制的。不同设备的遥控发送的红外遥控码都是不同的。同样地,若控制台要控制这些遥控设备,就必须发送与其遥控发送的完全一样的红外遥控码。
     
    控制台要实现对所有遥控设备的集中控制,首先就应当获取这些设备的红外遥控码。本文所介绍的就是一种能准确采集红外遥控码的系统。
红外遥控码主要有脉冲和载波两种形式,但无论是哪一种形式,都是只有‘0’和‘1’的二进制数字信号,只要采集到信号高低电平的脉宽,就能还原出原信号。
     
    下面是一个典型红外遥控码的波形:

一般红外遥控码的一些参数:
①    载波频率:34K~40KHz,主要集中于38KHz。
②    载波脉冲占空比(高电平脉宽与一个周期宽度之比)通常为1:4或1:3。取1:4的40K载波计算,一个载波周期为25us,一个高电平脉宽6.25us。
③    整个红外遥控码时间长度一般小于150ms,编码长度(也就是解调后的红外遥控码位数)通常小于34位。

    2. 方案论证与比较

    采集红外遥控码的脉宽可以由脉宽计数器实现,其基本原理是:在一个红外遥控码宽里对标准时钟的周期脉冲进行累加计数,其计数值乘以标准时钟周期就是这个红外遥控码的脉宽。例如,取10MHz频率的标准时钟,其周期为0.1us。下图中一个红外遥控码(高电平)的脉宽内包含20个标准时钟周期。
   
    因此,此脉宽的计数值为20,而脉宽实际时间值=20×0.1us=2 us 。
当一个红外码脉宽里包含非整数个时钟周期时,该计数值就会产生误差,其误差的大小与计数器标准时钟的选取有关。标准时钟频率越高,产生的误差就越小。一般仪器对红外遥控码的误差要求低于1%。若取20MHz作为标准时钟,精度为0.05us,现今所知一个最窄的红外载波为6.25us,其计数值为125,而计数器误差为±1,所以最大的误差为 1/125 = 0.79%。取20MHz作为标准时钟符合要求。由上面红外遥控信号的参数可知, 3个八位的计数器足以表示信号中任一个脉宽值。
以下是设计红外遥控码采集系统的方案讨论:

2.1 基于单片机的采集系统

    系统框图如图2-1。基于单片机是指对红外遥控码的采集和测量由单片机完成。由于单片机工作速度比较慢,只能对经过解调后的红外遥控码进行采集测量,其测量精度也不高。另外,单片机不能得知载波信息,必须通过其它途径获知载波信息,误差较高。因此采集到的红外遥控码可能对某些误差要求较低的设备进行遥控。这说明了此方案具有一定的局限性。

2.2 基于PLD的采集系统
   
    由于PLD具有很高的工作速度,因此考虑使用PLD采集和测量红外遥控码。系统由PLD的内部编程计数器对红外遥控码的载波脉宽进行计数,并将每一个计数值交给单片机,由单片机将计数值存进RAM,最后由单片机完成与PC机的数据通信。PC机对数据处理后,得到红外遥控码和载波的信息,从而还原出已调制的整个红外遥控码。其系统框图如图2-2。

    但此方案的系统可能会在PLD与单片机的接口出现“瓶颈”效应。现今所知最小的一个红外遥控码的载波脉宽为6.25us,如果要采集一个如此小的脉宽,单片机就要在6.25us的时间内传送此脉宽的计数值。计数值由3个字节表示,而单片机传送3个字节的数据最少需要的6条指令周期,相当于12个机器周期。如果选用89C51单片机外接最高可支持的24MHz的晶振经过12分频,则一个机器周期为0.5us,所以整个指令周期最少需要6us。这样实际操作起来就可能出现单片机还没传送完上一个脉宽的计数值,就必须中断传送下一个脉宽的计数值,从而可能导致数据的流失。这就是单片机速度跟不上而可能出现的“瓶颈”效应。

2.3 采用DMA方式的采集系统
   
    采用DMA方式是指PLD直接将采集到的红外遥控码的脉宽值写进外部RAM,采集完后再从RAM读出数据送给单片机,由单片机完成和PC机通信。系统采集红外遥控码分两次进行,一次采集红外遥控码本身,另一次采集红外遥控码的载波信息。其框图如图2-3。由于PLD和RAM都是高速器件,所以不会在接口上产生 “瓶颈”。系统实现起来虽然比上一个方案复杂,但确保了数据的可靠性。
   
    由此可知,此方案是相对最好的高速数据采集系统方案,符合方案设计中所突出的“高速”的要求。

3. 系统规划

    系统设计的核心是PLD内部编程实现数据采集的功能。

3.1 PLD内部逻辑功能规划
      
    采用DMA方式完成对红外遥控码的采集,PLD内部必须设计三大功能器件:

3.1.1脉宽计数器

    脉宽计数器的作用是测量红外遥控码高低电平的脉宽时间值,并提供控制端选择计数值的其中一个字节作为输出写进RAM。
考虑到采集速度的要求,设计两组相同的计数器,每组计数器由3个八位的计数器组成。其中一组固定测量红外遥控信号高电平脉宽(以下本文称之为高电平计数器),另一组固定测量低电平脉宽(以下本文称之低电平计数器)。当高电平计数器测量高电平脉宽时,低电平计数器输出上一个低电平的计数值,然后清零;而当低电平计数器测量低电平时,高电平计数器输出上一个高电平的计数值,然后清零。

    计数器内还包括两组锁存器和一个选择器。锁存器的功能是将计数结果锁存,保证输出稳定正确的结果。选择器的功能是由读/写控制器控制选择高/低电平计数值三个字节的其中一个作为输出。

3.1.2 读/写外部RAM控制器

    读/写外部RAM控制器(以下简称读/写控制器)的作用是控制将红外遥控码的脉宽值写进外部RAM或者从外部RAM中读出数据传送给单片机。

3.1.3 RAM地址计数器
   
    RAM地址计数器(以下简称地址计数器)的作用是产生读写RAM的单元地址信号。由于使用64K的RAM,所以设计一个16位的计数器。计数器的计数时钟信号由读/写控制器产生。

根据以上设计规划,PLD内部逻辑功能原理图如下:

3.2 单片机部分

    单片机主要负责把测量数据从FPGA送到PC机,同时具有初始化FPGA计数器和通、断脉宽计数器计数时钟的控制作用。
4. 系统设计和实现
4.1  PLD内部工作原理
    PLD内部采集红外遥控码的工作过程如下:
①由单片机开启标准时钟信号,当接收到红外遥控信号的首个下降沿时,第一个高电平脉宽由高电平计数器测出脉宽值,经锁存器和选择器把该值输出。
②由读/写控制器先选择该值的高字节写进RAM的首个单元,然后将RAM的写控制WR端置回高电平,并且向地址计数器发出地址加1信号,使地址计数器的地址线指向下一个内存单元。然后读/写控制器再选择次高字节,并将WR端置低将此值写进RAM下一个单元。当写完高电平三个字节值后就等待写下一个低电平的三个字节。
③如此不断将高低电平值写进RAM,直到地址计数器溢出(即RAM单元写满)或脉宽计数器溢出(即红外遥控码已完),读/写控制器接收到溢出信号转至读RAM状态,同时对发地址计数器清零信号。
④读/写控制器通知单片机开始接收数据,然后等待单片机发出接收的响应信号。当接收到此信号后读出RAM首个字节数据,并且向地址计数器发出地址加1信号,使地址计数器的地址线指向下一个内存单元,同时将RAM的OE端恢复为高电平。然后再等待单片机再次发出接收的响应信号。
⑤当读完最后一个字节时,地址计数器向读/写控制器发出结束信号,后者再通知单片机结束读数,然后读/写控制器转入空闲状态。从而结束对红外遥控码的采集。
4.2  PLD内部逻辑功能的实现
    PLD数据采集部分使用ALTERA公司的FLEX  EPF10K10LC84-4芯片,主要考虑到其10K10系列芯片速度快,内部逻辑单元多(576个逻辑单元)方便实现大规模的逻辑编程,而且有利于以后软机升级。对PLD芯片编程的软件工具使用ALTERA公司开发的MAX+PLUSⅡ,主要考虑到与芯片的兼容性和友好的操作界面。编程语言使用MAX+PLUSⅡ所支持的VHDL,主要考虑其描述能力强,覆盖面广,可读性好。

下面是PLD内部编程的顶层GDF文件

4.2.1脉宽计数器 (COUNTER)

      脉宽计数器由高低电平计数器和六选一选择器组成,即顶层的counter器件。
高低电平计数器均由三个八位的计数器和锁存器组成。计数时钟使用20MHz,红外遥控码作为计数器的使能端EN的输入信号,从而使得高电平计数器只对高电平脉宽计数,低电平计数器在红外遥控码接入使能端前多接一个非门,实现低电平计数器只对低电平脉宽计数。由于PC机接收数据格式需要将最高位置‘1’,所以锁存器最高位恒定输出‘1’。下面是计数器COUNTER的顶层GDF文件:
选择器控制端组成的六种状态是:SLT&B&A=100、101、110、000、001、010。
主要器件的VHDL编程见 附录 。

4.2.2 读/写外部RAM控制器 (WRITE_READ_CONTROL)

    控制器主要用状态机编写而编译生成器件,该器件有两大状态:写RAM和读RAM状态。状态之间的转换由标准时钟激发。下面是控制器的状态转移图:
写RAM状态:分为写高电平和写低电平两大部分,共包括15个分状态。
当控制器接收到单片机START=‘1’信号,就对控制器所有信号初始化,并进入READY状态。当红外信号PULSE=‘1’时,进入PREWRT_H状态,等待红外信号变为低电平。当PULSE=‘0’时,进入WRT_H3状态,将首个高电平数据高字节写进RAM首个单元。再进入FIN_H3状态,此状态将WR端置回高电平,并产生地址加一信号。写完低字节后,进入PREWRT_L状态,等待红外信号变为高电平。以后写低电平的每个状态与写高电平相同。整个写RAM状态中所有写状态和PREWRT_L、PREWRT_H状态都对计数器和RAM地址溢出的或信号OVERFLOW作判断,如果为1立即跳到写RAM状态。
   
    读RAM状态:共包括5个状态。先进入READ_STA状态,向地址计数器的溢出位送清零信号,并初始化所有读RAM所需信号。然后进入READ_STA_N状态,给单片机发送开始接收数据信号。再进入READ状态,此时对之前向地址计数器发送的清零信号清零,等待单片机响应SCM_CALL=‘1’就读出数据给单片机,否则等待。读出后进入READ_N状态,产生地址加一信号,同时把OE端恢复高电平,如果未发接收响应信号,则一直处于READ_N状态,否则进入READ状态。READ状态判断地址计数器是否有溢出信号,有就说明读完所有数据,并进入无动作的IDLE状态。
读/写控制器的VHDL编程见 附录 。

4.2.3 RAM地址计数器 (ADDR_COUNTER)

    地址累加信号由读/写RAM控制器给出。地址计数器内设计一个END_ADDR信号,记录数据写入最后一个单元的地址,初始化时预置为FFFF,如果收到读/写控制器的CNT_OVER信号,说明低电平计数器溢出,此时记下现场地址作为END_ADDR,并将计数器清零,重新开始计数。重新计数相当于读状态地址累加,当累加至END_ADDR地址时,计数器清零。由于读完数据读/写控制器停止发送地址累加信号,地址计数器也就没有时钟信号,因此停止工作。
地址计数器的VHDL编程见 附录 。

    5. 系统仿真数据

    上图是脉宽计数器(COUNTER)在MAX+PLUSⅡ软件平台上的软件仿真图,图中pulse是红外遥控码输入;slt是高低电平选择端,pulse=1,slt=0 输出低电平的计数结果;pulse=0,slt=1输出高电平计数结果。clear是从单片机输出的初始化信号;clock是20MHz(50ns)的标准计数时钟信号;b、a选择输出其中一个字节, a=0,b=0 选择低字节数据;a=0,b=1选择次高字节数据;a=1,b=0选择高字节数据。qq[7..0]是脉宽计数器的输出结果值,以十六进制表示,最高位非计数值,固定为“1”;c是脉宽计数器的溢出信号。

     6. 结束语
   
     设计时间为六周,最初设计选用的是方案二,初衷是考虑到方案二容易实现,可以与单片机部分配合消除可能出现的“瓶颈”现象。在最后一周,由于CPLD芯片MAX EPM7128SLC84-10资源少不适合软件升级以及系统板有错的原因,经讨论后决定将方案改为基于FPGA芯片的DMA方案,以解决硬件资源问题和“瓶颈”效应。

设计方案二所做工作:
    设计方案二使用了将近六周时间,前两周主要完成了对整个系统的总规划,确定了PLD内部所需功能器件,单片机与PLD的协定,单片机与PC机通信的格式,并使用Protel 99开始制板。
    后四周主要是使用MAX+PLUSⅡ进行PLD内部功能设计和软件仿真,并用FLEX EPF10K10LC84-4芯片的实验板成功实现了PLD内部功能的硬件仿真。最后是使用系统板对MAX EPM7128SLC84-10芯片烧写程序.

设计方案三所做工作:
    在原有方案二所设计的计数器基础上,完成了DMA方式的软件设计。系统板正在制造,在MAX+PLUSⅡ上通过了PLD内部的软件仿真。

    遇到的困难:设计方案三过程中,主要是整个系统的协调问题,通过逻辑判断和软件仿真不断地发现系统内各模块的协调问题,由此不断增加所需要的协调信号或新状态。设计此系统时最困难的是理清各个时序所需进行的动作,还有时序间的关系和触发条件,有时一个状态漏做一个动作就可能会引起整个系统的问题。

    设计方案二过程中,主要是限于VHDL语言的格式而经常修改了模块功能的实现方式,此些经验为设计方案三提供了方便。如VHDL不支持在同一个进程里使用两个信号边缘作为判断等固有格式。因为MAX7000系列芯片宏单元少而不能适配原设计,又对原模块进行了精简工作。最后不能正常对7128S芯片进行烧写。




时间:  2006-7-6 08:15
作者: qqqq

高级设计中的高密度AC/DC电源 .


  
      一个新的超小型高密度AC/DC电源系列满足了低端市场上先进电源方案的需要。这些开关电源的特点是高效率、高电流密度。  
    这种电源不仅可节省电路板上的空间,使系统添加能实现其它功能的电路,同时可靠性更高(因为不需要散热扇),热性能和EMI性能也更为优化。它们还具有更大的设计灵活性,更好的产品封装形式以及更利于进行生产。

    过去,许多公司生产的产品都差不多,设计者没有什么可选择的,只能选低功率AC/DC电源。这些产品又大又重,平均效率不到70%,封装功率密度不到4瓦/立方英寸,电流密度也不大。一个60W电源的尺寸一般是3×5×1.4英寸或者更大一些,而一个100W的电源更是它体积的两倍,所占用的空间足够放得下另一块印制板。

    这些老式产品的实际功率输出比标称值低20%左右(如果不加风扇的话)。对于大部分这些电源产品来说,提高电流密度会导致整个输出功率和效率的下降。这种情况,给那些想改善工作性能、突破热性能极限的设计者带来了严峻的挑战。

新设计,新选择

    现在,设计者可以选择一种平均效率高于86%、封装密度达到10瓦/立方英寸(是业界平均值的三倍)、电流密度达到业界平均水平4倍的成品式电源。对于这种电源来说,增加电流对效率的影响几乎是微不足道的,而且还不会增加封装的尺寸。

    以EOS公司的VLT系列高密度电源为例,这是一个60W、对流冷却、三输出端开架式电源,尺寸仅有2×4×1英寸。该电源的功率密度是7.5瓦/立方英寸,重量不到6盎司。(如图所示)

    减小了电源的尺寸以后,即使是在一个要求苛刻的应用中,设计者也可获得全功率的冗余。两个采用电流共享的高密度电源,它们所占用的空间只相当于上一代单个电源所占用的空间。

    高密度电源能够满足飞速发展的工业对较低输出电压的要求(2.5和3.3V),同时还不以牺牲其效率为代价,获得了更高的电流密度。这些要归功于功率转换电路的优化、元器件的选择、散热条件的处理以及封装设计的优化。这种电源可以满足全球的安全性要求,具有很高的MTBF特性(超过150000小时),而且输入端都是通用的。

外部电源的进步

    类似的技术进步同样也出现在单输出端及多输出端的外部或台式电源上,这类电源被广泛地应用于计算机外设、便携式计算机以及通信类产品中,小得可以放进衬衣口袋中的45W电源就是一个很好的例证。




时间:  2006-7-6 08:16
作者: qqqq

GIPS可有效消除网络音质骤降问题的解决方案 提供稳定VoIP应用.

  
      Global IP Sound (GIPS) 公司现已开始付运 GIPS 边界接口引擎 (Border Interface Engine, BIE)。这种基于服务器的转码 (transcoding) 和抖动消除 (dejittering) 解决方案能有效消除网络之间通话时出现的音质骤降问题。此外,GIPS还宣布Ayalogic公司将是首位采用这一突破性技术的客户。   
    GIPS 首席技术官兼创办人之一Roar Hagen称:“对终端用户来说,VoIP 通话的质量只予人一种整体不俗的感觉,但其实在两个不同网络之间的连接处,音质往往会下降。VoIP 要成为一个有效的解决方案,无疑必须在整个通信链路上都保持音质稳定。我们的 BIE 技术填补了通信链上的一个重要缺口,为企业解决方案和服务供应商带来最大限度地提升网络和平台语音质量的能力。”


    利用 BIE 技术,VoIP 解决方案能够在网络之间提供稳定而一致的连通性,并通过在 IP 网络上保持通话的完整性来实现高音质的双向通话。GIPS 的BIE技术采用了GIPS 专利的编解码器和NetEQ,后者是一种抖动缓冲器 (jitter buffer) 和错误隐蔽 (error concealment) 模块,使通话得以在 IP 网络上顺利进行。这种解决方案在信号转码并通过公共互联网或 PSTN 发送之前,对信号上的抖动和延迟进行管理,从而大大增强接收方的语音质量,即使通话在边界网关或公共窄带网络中终止时,语音质量也得以提升。与此同时,在 IP 网络的一方,通过使用高质GIPS编解码器,而非 G.729 或G.711等电信系统常用标准,用户便同样可以获得卓越的语音质量。
    Ayalogic公司决定采用BIE技术的原因,是该技术能提供无以伦比的网络语音质量。Ayalogic公司总裁Michael J. Rojas表示:“作为一家提供企业解决方案的公司,我们的客户对通话质量的要求较一般用户为高。此外,我们的解决方案较其它方案优胜之处是具有PSTN 终端功能。无论用户采用哪一种网络,GIPS均可实现可靠稳定的通话性能,并同时满足我们这两项关键要求。”

    Ayalogic公司的软件解决方案 Qmunicate™ (专利申请中) 将商业用的语音、文本、即时通信 (IM)、语音邮件(voicemail)、电邮和文档传输工具等结合在单一定制平台中,并可在任何通信设备如电话、PC 和 PDA 上推行。Ayalogic公司的Qmunicate™ 通过集成语音、信息、数据传输和设备等元素,帮助企业有效地控制其通信运作。这个企业通信解决方案让用户享受到安全的信息发送和互连性,同时降低现有电话设备的成本及改善其低效率的情况。

    GIPS 的BIE技术支持所有编解码器和协议,它能与语音引擎 (VoiceEngine) 等其它GIPS产品协同运作,确保即使在VoIP通话中只有一方使用GIPS技术,其它各方也能享受到高质量的语音效果。这种解决方案能够在任何标准服务器平台上实施,适合于中介设备 (mediation device)、网关或监控应用产品。




时间:  2006-7-6 08:16
作者: qqqq

电子元器件的故障特点 .

  
  电器设备内部的电子元器件虽然数量很多,但其故障却是有规律可循的。

1.电阻损坏的特点
    电阻是电器设备中数量最多的元件,但不是损坏率最高的元件。电阻损坏以开路最常见,阻值变大较少见,阻值变小十分少见。常见的有碳膜电阻、金属膜电阻、线绕电阻和保险电阻几种。前两种电阻应用最广,其损坏的特点一是低阻值(100Ω以下)和高阻值(100kΩ以上)的损坏率较高,中间阻值(如几百欧到几十千欧)的极少损坏;二是低阻值电阻损坏时往往是烧焦发黑,很容易发现,而高阻值电阻损坏时很少有痕迹。线绕电阻一般用作大电流限流,阻值不大。圆柱形线绕电阻烧坏时有的会发黑或表面爆皮、裂纹,有的没有痕迹。水泥电阻是线绕电阻的一种,烧坏时可能会断裂,否则也没有可见痕迹。保险电阻烧坏时有的表面会炸掉一块皮,有的也没有什么痕迹,但绝不会烧焦发黑。根据以上特点,在检查电阻时可有所侧重,快速找出损坏的电阻。

2.电解电容损坏的特点
    电解电容在电器设备中的用量很大,故障率很高。电解电容损坏有以下几种表现:一是完全失去容量或容量变小;二是轻微或严重漏电;三是失去容量或容量变小兼有漏电。查找损坏的电解电容方法有:

(1)看:有的电容损坏时会漏液,电容下面的电路板表面甚至电容外表都会有一层油渍,这种电容绝对不能再用;有的电容损坏后会鼓起,这种电容也不能继续使用;
(2)摸:开机后有些漏电严重的电解电容会发热,用手指触摸时甚至会烫手,这种电容必须更换;
(3)电解电容内部有电解液,长时间烘烤会使电解液变干,导致电容量减小,所以要重点检查散热片及大功率元器件附近的电容,离其越近,损坏的可能性就越大。

3.二、三极管等半导体器件损坏的特点
    二、三极管的损坏一般是PN结击穿或开路,其中以击穿短路居多。此外还有两种损坏表现:一是热稳定性变差,表现为开机时正常,工作一段时间后,发生软击 穿;另一种是PN结的特性变差,用万用表R×1k测 ,各PN结均正常,但上机后不能正常工作,如果用R×10或R×1低量程档测,就会发现其PN结正向阻值比正常值大。测量二、三极管可以用指针万用表在路测量,较准确的方法是:将万用表置R×10或R×1档(一般用R×10档,不明显时再用R×1档)在路测二、三极管的PN结正、反向电阻,如果正向电阻不太大(相对正常值),反向电阻足够大(相对正向值),表明该PN结正常,反之就值得怀疑,需焊下后再测。这是因为一般电路的二、三极管外围电阻大多在几百、几千欧以上,用万用表低阻值档在路测量,可以基本忽略外围电阻对PN结电阻的影响。

4.集成电路损坏的特点
    集成电路内部结构复杂,功能很多,任何一部分损坏都无法正常工作。集成电路的损坏也有两种:彻底损坏、热稳定性不良。彻底损坏时,可将其拆下,与正常同型号集成电路对比测其每一引脚对地的正、反向电阻,总能找到其中一只或几只引脚阻值异常。对热稳定性差的,可以在设备工作时,用无水酒精冷却被怀疑的集成电路,如果故障发生时间推迟或不再发生故障,即可判定。通常只能更换新集成电路来排除。




时间:  2006-7-6 08:16
作者: qqqq

用U-BOOT构建嵌入式系统的引导装载程序
 

摘 要:BootLoader(引导装载程序)是嵌入式系统软件开发的第一个环节,它把操作系统和硬件平台衔接在一起,对于嵌入式系统的后续软件开发十分重要,在整个开发中也占有相当大的比例。U-BOOT是当前比较流行、功能强大的BootLoader,可以支持多种体系结构。LH7A400是Sharp公司生产的一款基于ARM922T内核的32位RISC芯片,本文详细介绍U-BOOT的功能、特点以及在LH7A400处理器上的移植过程。


关键词:BootLoader U-BOOT移植 LH7A400 ARM922T


引 言:

  本文以U-BOOT为例,介绍了如何在ARM9开发板上移植BootLoader的过程。LH7A400学习板是旋极公司推出的一款高性能嵌入式开发板,其采用的处理器LH7A400是Sharp公司生产的一款基于ARM922T内核的32位RISC芯片。该芯片集成了高性能的32位RISC处理器核ARM922T(运算速度200MHz,总线速度100MHz) ,能使处理速度达到每秒220百万条指令(MIPS),能耗为1.33mW/MIPS,可以在低电压状态下工作(核心1.8V,输入/输出3.3 V),片内带有锁相回路(PLL)和低能耗核心。此外该芯片还包括: 16KB 高速缓存(Cache), 存储器管理单元(MMU), 80KB 静态存储器(SRAM), 彩色液晶显示控制器(LCD), 直接存储控制器(10通道DMA), 异步串行口控制器(UART), 同步串行口控制器(SSP), PCMCIA控制器, AC97声音控制器, 智能卡控制器, 多媒体卡控制器, 电池控制器, USB控制器和时钟/供电管理器。值得一提的是,LH7A400是一款宽温芯片,其工作温度范围为-40℃~+85℃(降低时钟频率),可广泛应用于无线手持设备、智能电话、PDA、家庭娱乐控制器、PocketPC及各种工控设备。
该学习板还包括如下硬件:由2片16位Flash (32MB)和2片16位的SDRAM(64M)构成32位宽的高速存储器结构;10/100M自适应网络芯片DM9000;Sharp 3.5’TFT LCD彩屏;触摸屏;USB Host/Device;CF卡插槽;全功能JTAG接口等。

1 U-BOOT简介

  U-BOOT是由德国的工程师Wolfgang Denk从8XXROM代码发展而来的,它支持很多处理器,比如PowerPC、ARM、MIPS和x86。目前,U-BOOT源代码在sourceforge网站的社区服务器中,Internet上有一群自由开发人员对其进行维护和开发,它的项目主页是http://sourceforge.net/projects/U-BOOT。U-BOOT的最新版本源代码可以在Sourceforge的CVS服务器中匿名获得。
#cvs -d:pserver:anonymous@cvs.sourceforge.net:/cvsroot/U-BOOT login
#cvs -z6 -d:pserver:anonymous@cvs.sourceforge.net:/cvsroot/U-BOOT \ co -P modulename

1.1 U-BOOT源代码目录结构

◆ board:和一些已有开发板有关的文件,比如Makefile和u-boot.lds等都和具体开发板的硬件和地址分配有关。
◆ common:与体系结构无关的文件,实现各种命令的C文件。
◆ cpu:CPU相关文件,其中的子目录都是以U-BOOT所支持的CPU为名,比如有子目录arm926ejs、mips、mpc8260和nios等,每个特定的子目录中都包括cpu.c和interrupt.c,start.S。其中cpu.c初始化CPU、设置指令Cache和数据Cache等;interrupt.c设置系统的各种中断和异常,比如快速中断、开关中断、时钟中断、软件中断、预取中止和未定义指令等;start.S是U-BOOT启动时执行的第一个文件,它主要是设置系统堆栈和工作方式,为进入C程序奠定基础。
◆ disk:disk驱动的分区处理代码。
◆ doc:文档。
◆ drivers:通用设备驱动程序,比如各种网卡、支持CFI的Flash、串口和USB总线等。
◆fs:支持文件系统的文件,U-BOOT现在支持cramfs、fat、fdos、jffs2和registerfs。
◆ include:头文件,还有对各种硬件平台支持的汇编文件,系统的配置文件和对文件系统支持的文件。
◆ net:与网络有关的代码,BOOTP协议、TFTP协议、RARP协议和NFS文件系统的实现。
◆ lib_arm:与ARM体系结构相关的代码。
◆ tools:创建S-Record格式文件 和U-BOOT images的工具。

1.2 U-BOOT的特点

  U-BOOT支持SCC/FEC以太网、OOTP/TFTP引导、IP和MAC的预置功能,这一点和其它BootLoader(如BLOB和RedBoot等)类似。但U-BOOT还具有一些特有的功能。

◆ 在线读写Flash、DOC、IDE、IIC、EEROM、RTC,其它的BootLoader根本不支持IDE和DOC的在线读写。
◆ 支持串行口kermit和S-record下载代码,U-BOOT本身的工具可以把ELF32格式的可执行文件转换成为 S-record格式,直接从串口下载并执行。
◆ 识别二进制、ELF32、uImage格式的Image,对Linux引导有特别的支持。U-BOOT对Linux 内核进一步封装为uImage。封装如下:
#{CROSS_COMPILE}-objcopy -O binary -R.note -R.comment -S vmlinux \ linux.bin  
#gzip -9 linux.bin
#tools/mkimage -A arm -O linux -T kernel -C gzip -a 0xc0008000 -e\
0xc0008000 -n “Linux-2.4.20” -d linux.bin.gz /tftpboot/uImage
即在Linux内核镜像vmLinux前添加了一个特殊的头,这个头在include/image.h中定义,包括目标操作系统的种类(比如Linux,VxWorks等)、目标CPU的体系机构(比如ARM、PowerPC等)、映像文件压缩类型(比如gzip、bzip2等)、加载地址、入口地址、映像名称和映像的生成时间。当系统引导时,U-BOOT会对这个文件头进行CRC校验,如果正确,才会跳到内核执行。如下所示:
WT-ARM9# bootm 0xc1000000
## Checking Image at 0xc100000 ...
Image Name: Linux-2.4.20
Created: 2004-07-02 22:10:11 UTC
Image Type: ARM Linux Kernel Image (gzip compressed)
Data Size: 550196 Bytes = 537 kB = 0 MB
Load Address: 0xc0008000
Entry Point: 0xc0008000
Verifying Checksum ... OK
Uncompressing Kernel Image ……… OK
◆ 单任务软件运行环境。U-BOOT可以动态加载和运行独立的应用程序,这些独立的应用程序可以利用U-BOOT控制台的I/O函数、内存申请和中断服务等。这些应用程序还可以在没有操作系统的情况下运行,是测试硬件系统很好的工具。
◆ 监控(minitor)命令集:读写I/O,内存,寄存器、内存、外设测试功能等  
◆ 脚本语言支持(类似BASH脚本)。利用U-BOOT中的autoscr命令,可以在U-BOOT中运行“脚本”。首先在文本文件中输入需要执行的命令,然后用tools/mkimage封装,然后下载到开发板上,用autoscr执行就可以了。
① 编辑如下的脚本example.script。
echo
echo Network Configuration:
echo ----------------------
echo Target:
printenv ipaddr hostname
echo
echo Server:
printenv serverip rootpath
echo
② 用tools/mkimage对脚本进行封装。
# mkimage -A ARM -O linux -T script -C none -a 0 -e 0 -n "autoscr example script" -d example.script /tftpboot/example.img
Image Name: autoscr example script
Created: Wes Sep 8 01:15:02 2004
Image Type: ARM Linux Script (uncompressed)
Data Size: 157 Bytes = 0.15 kB = 0.00 MB
Load Address: 0x00000000
Entry Point: 0x00000000
Contents:
Image 0: 149 Bytes = 0 kB = 0 MB
③ 在U-BOOT中加载并执行这个脚本。
WT-ARM9# tftp 100000 /tftpboot/example.img
ARP broadcast 1
TFTP from server 10.0.0.2; our IP address is 10.0.0.99
Filename ’/tftpboot/TQM860L/example.img’.
Load address: 0x100000
Loading: #
done
Bytes transferred = 221 (dd hex)
WT-ARM9# autoscr 100000
## Executing script at 00100000
Network Configuration:
----------------------
Target:
ipaddr=10.0.0.99
hostname=arm
Server:
serverip=10.0.0.2
rootpath=/nfsroot
WT-ARM9#
◆ 支持WatchDog、LCD logo和状态指示功能等。如果系统支持splash screen,U-BOOT启动时,会把这个图像显示到LCD上,给用户更友好的感觉。
◆ 支持MTD和文件系统。U-BOOT作为一种强大的BootLoader,它不仅支持MTD,而且可以在MTD基础上实现多种文件系统,比如cramfs、fat和jffs2等。
◆ 支持中断。由于传统的BootLoader都分为stage1和stage2,所以在stage2中添加中断处理服务十分困难,比如BLOB;而U-BOOT是把两个部分放到了一起,所以添加中断服务程序就很方便。
◆ 详细的开发文档。由于大多数BootLoader都是开源项目,所以文档都不是很充分。U-BOOT的维护人员意识到了这个问题,充分记录了开发文档,所以它的移植要比BLOB等缺少文档的BootLoader方便。

2 对U-BOOT-1.1.0的修改

  为了使U-BOOT-1.1.0支持新的开发板,一种简便的做法是在U-BOOT已经支持的开发板中选择一种接近的进行修改。由于U-BOOT-1.10不支持ARM-922T内核,所以选择基于ARM-920T内核的smdk2400为模板。相关的源代码在board/smdk2400/下。

2.1 支持ARM-922T内核的代码修改

修改以下代码,使U-BOOT支持arm-922t内核。
① 在include/目录下新建文件arm922t.h,内容如下:
#ifndef __ARM922T_H__
#define __ARM922T_H__
#endif
② 在include/目录下新建文件wt-arm9.h,该文件描述了ARM922T中Timer、UART等寄存器的结构及若干宏定义。具体内容要参考相关处理器手册。
③ 在cpu/目录下新建目录arm922t,将目录arm920t下的内容复制后,参考手册分别修改cpu.c、interrupts.c和serial.c,其它文件不修改。

2.2 开发板的支持

  建立自己开发板的目录和相关文件。
① 在include/configs目录中添加头文件lh7a400.h。这个文件是lh7a400开发板的配置文件,它包括开发板的CPU、系统时钟、RAM、Flash系统及其它相关的配置信息。其格式可参考include/configs/smdk2400.h。
② 在board/目录下新建wt-arm9目录,创建如下文件:flash.c、lhmemsetup.c、wt- arm9.c、Makefile和u-boot.lds。
◆ flash.c。U-BOOT 读、写和删除Flash设备的源代码文件。由于不同开发板中Flash存储器的种类各不相同,所以,修改flash.c时需参考相应的Flash芯片手册。它包括如下几个函数:
unsigned long flash_init (void ),Flash初始化;
void flash_print_info (flash_info_t *info),打印Flash信息;
int flash_erase (flash_info_t *info, int s_first, int s_last),Flash擦除;
volatile static int write_dword (flash_info_t *info, ulong dest, ulong data),Flash写入;
int write_buff (flash_info_t *info, uchar *src, ulong addr, ulong cnt),从内存复制数据。
◆ lhmemsetup.c。初始化时钟、SMC控制器和SDRAM控制器。
◆ wt-arm9.c。设置各种总线时钟,打开数据Cache和指令Cache,并设置相关内存参数。
◆ Makefile。直接拷贝board/smdk2400/Makefile,作如下修改:
OBJS := wt-arm9.o flash.o lhmemsetup.o
◆ u-boot.lds。设置U-BOOT中各个目标文件的连接地址,直接拷贝 board/smdk2400/u-boot.lds,作如下修改:
.text
{
cpu/arm922t/start.o (.text)
*(.text)
}

2.3 添加网口设备控制程序

  在drivers/目录中添加网口设备控制程序dm9000.c 和dm9000.h,其中dm9000.c 主要包括以下函数:
int eth_init (bd_t *bd),初始化网络设备;
void eth_halt (void),关闭网络设备;
int eth_send (volatile void *packet,int len),发送数据包;
int eth_rx (void) 接收数据包。
用中断方式处理数据包的收发,因此还定义了另外两个函数:
void InitInterrupt (void) ,中断初始化;
void dm9000_irq (void) ,中断处理。
以上两个函数在cpu/arm922t/interrupts.c中被调用,最后在drivers/Makefile中加入dm9000.o。

2.4 修改Makefile

  在u-boot-1.1.0/Makefile中加入
lh7a400_config : unconfig
@./mkconfig $(@:_config=) arm arm922t wt-arm9
其中“arm”是CPU的种类, arm922t 是ARM CPU对应的代码目录,wt-arm9是自己开发板对应的目录。
交叉编译器安装在/opt/arm/3.3/bin/目录下,所以把CROSS_COMPILE设置成相应的路径:
export CROSS_COMPILE = /opt/arm/3.3/bin/arm-elf-

2.5 生成目标文件

   先运行make clean,
[zeng@localhost u-boot-1.1.0]$make clean
然后运行make lh7a400_config,
[zeng@localhost u-boot-1.1.0]$ make lh7a400_config
Configuring for lh7a400 board...
再运行make,
[zeng@localhost u-boot-1.1.0]$make
之后会生成三个文件:
u-boot——ELF格式的文件,可以被大多数Debug程序识别;
u-boot.bin——二进制bin文件,纯粹的U-BOOT二进制执行代码,不保存ELF格式和调试信息。这个文件一般用于烧录到用户开发板中;
u-boot.srec——Motorola S-Record格式,可以通过串行口下载到开发板中。

2.6 测 试

  通过JTAG口将u-boot.bin烧写到Flash的零地址,复位后执行u-boot。若运行正常,会从串口返回如下信息:
U-Boot 1.1.0 (Aug 21 2004 ?18:44:37)
U-BooT code: C3F80000 -> C3FA51A0 BSS: -> C3FA96EC
IRQ Stack: c3f1ff7c
FIQ Stack: c3f1ef7c
RAM Configuration:
Bank #0: c0000000 8 MB
Bank #1: c1000000 8 MB
……
Flash: 32 MB
In: serial
Out: serial
Err: serial
WT-ARM9 #

  输入help得到所有命令列表,help command 列出该命令的功能。紧接着测试Flash和网卡,如果都正常工作的话,表明移植U-BOOT的工作基本完成,可以接着调试内核和文件系统。

结 语

  BootLoader是操作系统和硬件的枢纽,它为操作系统内核的启动提供了必要的条件和参数。在移植过程中,开发人员除了要掌握BootLoader的结构和工作流程外,还要对相关硬件有一定的了解。目前,笔者移植的U-BOOT已经能够稳定地运行在开发板上,而且可以通过Flash和网络加载内核和文件系统,为后续开发,特别是驱动程序的开发奠定了良好的基础。




时间:  2006-7-6 08:17
作者: qqqq

关于uC/OS-II中优先级翻转问题



 

引 言:

1 uC/OS-II的运行机制

  在嵌入式系统的应用中,实时性是一个重要的指标,而优先级翻转是影响系统实时性的重要问题。本文着重分析优先级翻转问题的产生和影响,以及在uC/OS-II中的解决方案。

  uC/OS-II采用基于固定优先级的占先式调度方式,是一个实时、多任务的操作系统。系统中的每个任务具有一个任务控制快OS_TCB,任务控制块记录任务执行的环境,包括任务的优先级,任务的堆栈指针,任务的相关事件控制块指针等。内核将系统中处于就绪态的任务在就绪表(ready list)进行标注,通过就绪表中的两个变量OSRdyGrp和OSRdyTbl[]可快速查找系统中就绪的任务。在uC/OS-II中每个任务有唯一的优先级,因此任务的优先级也是任务的唯一编号(ID),可以作为任务的唯一标识。内核可用控制块优先级表OSTCBPrioTbl[]由任务的优先级查到任务控制块的地址。uC/OS-II主要就是利用任务控制快OS_TCB、就绪表(ready list)和控制块优先级表OSTCBPrioTbl[]来进行任务调度的。任务调度程序OSSched()首先由就绪表(ready list)中找到当前系统中处于就绪态的优先级最高的任务,然后根据其优先级由控制块优先级表OSTCBPrioTbl[]取得相应任务控制块的地址,由OS_TASK_SW()程序进行运行环境的切换。将当前运行环境切换成该任务的运行环境,则该任务由就绪态转为运行态。当这个任务运行完毕或因其它原因挂起时,任务调度程序OSSched()再次到就绪表(ready list)中寻找当前系统中处于就绪态中优先级最高的任务,转而执行该任务,如此完成任务调度。若在任务运行时发生中断,则转向执行中断程序,执行完毕后不是简单的返回中断调用处,而是由OSIntExit()程序进行任务调度,执行当前系统中优先级最高的就绪态任务。当系统中所有任务都执行完毕时,任务调度程序OSSched()就不断执行优先级最低的空闲任务OSTaskIdle(),等待用户程序的运行。

2 uC/OS-II中的优先级翻转问题

  在uC/OS-II中,多个任务按照优先级高低由内核调度执行,而且任务调度所花的时间是常数,与应用程序中建立的任务数无关。对于占先式内核,任务的响应时间是确定的,而且是最优化的,占先式内核保证最高优先级的任务最先执行。

  任务的响应时间=寻找最高优先级任务的时间+任务切换时间
  
  在uC/OS-II中寻找进入就绪态的最高优先级任务是通过查就绪表实现的,这减少了所需时间。
y=OSUnMapTbl[OSRdyGrp];
x= OSUnMapTbl [OSRdyTbl[y]];
prio=(y<<3)+x;

  任务切换是通过调用汇编函数OS_TASK_SW()来实现的,主要完成两个任务运行环境的保存和恢复。因此用户可以通过安排任务的优先级,保证系统的实时性。当涉及到共享资源的互斥访问时,多任务实时操作系统常常会出现优先级翻转问题(priority inversion),不能保证高优先级任务的响应时间,影响系统的实时性,uC/OS-II中也存在同样问题。所谓优先级翻转问题(priority inversion)即当一个高优先级任务通过信号量机制访问共享资源时,该信号量已被一低优先级任务占有,而这个低优先级任务在访问共享资源时可能又被其它一些中等优先级的任务抢先,因此造成高优先级任务被许多具有较低优先级的任务阻塞,实时性难以得到保证。例如:有优先级为A、B和C的三个任务,优先级A>B>C,任务A,B处于挂起状态,等待某一事件的发生,任务C正在运行,此时任务C开始使用某一共享资源S。在使用中,任务A等待的事件到来,任务A转为就绪态,因为它比任务C优先级高,所以立即执行。当任务A要使用共享资源S时,由于其正在被任务C使用,因此任务A被挂起,任务C开始运行。如果此时任务B等待的事件到来,则任务B转为就绪态。由于任务B的优先级比任务C高,因此任务B开始运行,直到其运行完毕,任务C才开始运行。直到任务C释放共享资源S后,任务A才得以执行。在这种情况下,优先级发生了翻转,任务B先于任务A运行。这样便不能保证高优先级任务的响应时间,解决优先级翻转问题有优先级天花板(priority ceiling)和优先级继承(priority inheritance)两种办法。

  优先级天花板是当任务申请某资源时,把该任务的优先级提升到可访问这个资源的所有任务中的最高优先级,这个优先级称为该资源的优先级天花板。这种方法简单易行,不必进行复杂的判断,不管任务是否阻塞了高优先级任务的运行,只要任务访问共享资源都会提升任务的优先级。在uC/OS-II中,可以通过OSTaskChangePrio()改变任务的优先级,但是改变任务的优先级是很花时间的。如果不发生优先级翻转而提升了任务的优先级,释放资源后又改回原优先级,则无形中浪费了许多CPU时间,也影响了系统的实时性。

  优先级继承是当任务A申请共享资源S时,如果S正在被任务C使用,通过比较任务C与自身的优先级,如发现任务C的优先级小于自身的优先级,则将任务C的优先级提升到自身的优先级,任务C释放资源S后,再恢复任务C的原优先级。这种方法只在占有资源的低优先级任务阻塞了高优先级任务时才动态的改变任务的优先级,如果过程较复杂,则需要进行判断。uC/OS-II不支持优先级继承,而且其以任务的优先级作为任务标识,每个优先级只能有一个任务,因此,不适宜在应用程序中使用优先级继承。

3 uC/OS-II中优先级翻转问题的解决

  在uC/OS-II中,为解决优先级翻转影响任务实时性的问题,可以借鉴优先级继承的方法对优先级天花板方法进行改进。对uC/OS-II的使用,共享资源任务的优先级不是全部提升,而是先判断再决定是否提升。即当有任务A申请共享资源S时,首先判断是否有别的的任务正在占用资源S,若无,则任务A继续执行,若有,假设为任务B正在使用该资源,则判断任务B的优先级是否低于任务A,若高于任务A,则任务A挂起,等待任务B释放该资源,如果任务B的优先级低于任务A,则提升任务B的优先级到该资源的优先级天花板,当任务B释放资源后,再恢复到原优先级。在uC/OS-II中,每个共享资源都可看作一个事件,每个事件都有相应的事件控制块ECB。在ECB中包含一个等待本事件的等待任务列表,该列表包括OSEventTbl[]和OSEventGrp两个域,通过对等待任务列表的判断可以很容易的确定是否有多个任务在等待该资源,同时也可判断任务的优先级与当前任务优先级的高低,从而决定是否需要用OSTaskChangePio()来改变任务的优先级。这样,仅在优先级有可能发生翻转的情况下才改变任务的优先级,而且利用事件的等待任务列表进行判断,比用OSTaskChangePio()来改变任务的优先级速度快,并占用较少的CPU时间,有利于系统实时性的提高。

  总之,优先级翻转问题是多任务实时操作系统普遍存在的问题,这个问题也存在于uC/OS-II中。通过在应用程序中进行简单的判断,在可能出现优先级翻转的情况下动态的改变任务的优先级,可以有效地避免任务的优先级翻转,保证高优先级任务的执行,提高了系统的实时性。



时间:  2006-7-6 08:17
作者: qqqq

巧用万用表测量接地电阻



 

      在许多情况下,需要埋设接地体、引出接地级,以便将仪器设备可靠接地。为确保接地电阻符合要求,通常需要专用的接地电阻测试仪(如:日本共立4105A)进行测量。  

       但实际工作中,专用的接地电阻测试仪价高,难于找到,能否用万用表测量接地电阻呢?笔者用万用表在不同土质的土壤对接地电阻进行了实验,并将万用表所测数据和专用接地电阻测试仪所测数据进行了比较,两者十分接近。具体测量方法如下:  

       找两根8mm、1m长的圆钢,将其一端磨尖作为辅助测试棒,分别插入待测接地体A两侧5m远的地下,深度应在0.6m以上,并使三者保持一条直线。  

       在这里,A为待测接地体,B、C为辅助测试棒。然后用万用表(R*1挡)测量A与B;A与C之间的电阻值,分别记作RAB、RAC、RBC,再经计算就可求出接地体A的接地电阻值。  

       由于接地电阻指的是接地体与土壤间的接触电阻。设A、B、C三者的接地电阻分别为RA、RB、RC。再设A与B之间土壤的电阻为RX,因为AC、AB距离相等,可以为A与C之间的土壤电阻也为RX;又因为BC=2AB,所以B与C间的土壤电阻近似为2RX,则:  

      RAB=RA+RB+RX。。。。。。  

      ①RAC=RA+RC+RX。。。。。。  

      ②RBC=RB+RC+2RX。。。。。。  

      ③将①+②—③即得:RA=(RAB+RAC—RBC)/2。。。。。。④  

      ④式即为接地电阻的计算公式。  

      实测例子:今测得某接地体的数据如下:RAB=8.4∩,RAC=9.3∩,RBC=10.5∩。则:  

      RA=(8.4+9.3—10.5)/2=3.6(∩)  

      所以,被测接地体A的接地电阻值为3.6∩。  

      值得注意的是:测量前需要将A、B、C三个接地体用砂纸打磨发亮,尽量减少表笔与接地体之间的接触电阻,以减少误差。  



时间:  2006-7-6 08:17
作者: qqqq


以太网供电技术标准综述
  
摘要:IEEE 802.3af规范定义了一种允许通过以太网线缆输送DC电源的方法。首先简要介绍以太网供电系统的构成,详细介绍以太网供电设备及其功能。然后,阐述受电设备及其特性,给出一些可用的芯片供设计时参考。
关键词:以太网供电 IEEE802.3af 供电设备 受电设备
引 言
  1999年,通过以太网电缆提供电源已经不是什么新理念。在2003年6月IEEE最终批准了802.3af标准。该标准定义了一种允许通过以太网在传输数据的同时输送DC电源的方法。它能安全、可靠地将以太网供电(PoE,Power over Ethernet)技术引入现有的网络基础设施中,并且和原有的网络设备相兼容;它最大能提供大约13W的功率。这样小型网络设备就可通过以太网连接供电而无需使用墙上的AC电源插座,从而大大简化了布线,降低了网络基础设施的建设成本。另外,通过UPS备份的局域网供电,还可以使网络设备免受电网掉电的影响,像传统电话那样,在停电的时候仍然可以运转。
1 PoE系统的构成
  在PoE系统中,提供电源的设备被称为供电设备PSE(Power Sourcing Equipment),而使用电源的设备称为受电设备PD(Powered Device)。PSE负责将电源注入以太网线,并实施功率的规划和管理。可以采用两种类型的PSE:一种为“Endpoint PSE”,另一种为“Mid-span PSE”。Endpoint PSE就是支持PoE的以太网交换机、路由器、集线器或其它网络交换设备。mid-span PSE是用来将以太网供电功能添加到现有网络的一种设备。它专门用于电源管理,并通常和交换机放在一起,和交换机一样也有多路输入输出RJ-45端口,对应每路的两个RJ-45插孔,一个用短线连接至不具有以太网供电功能的网络交换设备,作为数据输入口;而另一个连接到支持802.3af供电的远端用电设备(PD),作为数据/电源双用的RJ-45输出口。mid-span设备通常通过未使用的4/5和7/8线对来承载供电,剩下的部分预留给数据传输。电源在机箱内被注入网线而信号未作任何调整。PD则有多种形式,如IP电话机、网络摄影机、无线桥接器、收银机、安全存取与监测系统等。实际上,任何需要数据连接并能在13W或更低功率下工作的设备都可无需AC电源或电池供电,仅从RJ-45插座就能够得到相应的电力。图1给出了采用Mid-span的PoE系统工作示意。
  

    
2 供电设备PSE
  PSE负责PoE系统的电源管理。它连续监视网络上PD设备的连接状况,并根据PD的功率要求,将适当的电力通过五类电缆中的信号线对(Endpoint PSE)或备用线对(Mid-span PSE)输送到PD,并在PD下线时切断电源。
  Endpoint PSEs 支持10BASE-T、100BASE-TX 和1000BASE-T网络。Endpoint的PoE系统中的PSE可以在信号线对之间或备用线对之间(但不是两者同时)提供标称48V的DC电源。其中在信号线对之间传输电力时,48V电源通过向耦合变压器的中间抽头供电以共模方式施加在双绞线上,如图2所示,对于差分数据信号没有影响,并且由于耦合变压器的隔离,也不会对数据收发器产生影响。Mid-span PSEs只支持10BASE-T和100BASE-TX网络,而对1000BASE-T网络的支持802.3af标准目前还未定义。Mid-span PSE在备用线对之间提供48V的DC电源。Mid-span PSE较Endpoint PSE需要额外的线缆,占用了更多的空间,并增加了系统成本。
  

  在允许PSE向线路供电之前,它必须用一个有限功率的测试源来检查特征电阻,以避免将48V电源加给非兼容PoE的网络设备,对其造成危害。在加电之前,PSE首先用2.8V~10V的探测电压去侦测是否有PD接入。具体实施时,是将2.8V~10V之间的两个电压(间隔在1V或以上)送到网络链路,然后根据得到的两个不同的电流值再作运算(ΔV/ΔI )。为了便于PSE识别,IEEE802.3af对于PD在侦测过程中的表现(特征)作了规定,如表1所列。
    

    
  一旦侦测到有效的PD,PSE需要了解PD的用电量,便于系统对电源的管理。这个过程称为PD分级(IEEE标准规定此过程是可选的)。这一阶段,PSE利用一个 15.5V~20.5V的探测电压来检测PD的功率级别。通过从线上吸收一个恒定电流——分级特征信号,PD向PSE表明自己所需的最大功率。PSE测量这个电流,以确定PD属于哪个功率级别。分级期间使用的PSE电压源必须限制到100mA,以避免损坏失效的PD,而且它的连接时间不能超过75ms,以对PD功耗加以控制。表2列出了分级情况及其恒流特征。
     

   
  成功侦测和分级后,PSE就可向PD供电了。供电期间,PSE还要对每个端口的供电情况进行监视,提供欠压和过流保护。
  PSE不能向非PD设备传输电力,同样PSE也不能在PD已经断开后还使电源处于接通状态。因为供电电缆有可能会插在一个非PD设备上,或引起线缆的短接。IEEE802.3af标准规定了两种方法让PSE检测PD是否断开,即DC断路检测法和AC断路检测法。不同的芯片供应商根据系统的实际情况选择了最适合他们系统的检测方法。
  DC断路法根据从PSE流向PD的直流电流大小,判断PD是否在线。当电流在给定时间tDIS (300ms~400ms) 内保持低于阈值IMIN (5mA~10mA )时,PSE就认为PD不存在,从而切断电源。这种方法的缺点是,当PD工作在低功耗模式时,为避免掉线,PD必须周期性地从线上吸取一定的电流。AC断路法是测量以太网端口的交流阻抗,当没有设备连接到PSE时,端口应该是高阻抗,可能达到几MΩ;而当接有PD时,端口的阻抗会小于26.5kΩ;如果PD消耗大量功率,那么阻抗通常会更低。端口阻抗(ZPORT)通过加电压(VAC)和测量得到的电流(IAC)来决定,即ZPORT = VAC / IAC 。
  目前已有多家半导体厂商提供了符合IEEE802.3af规格的PSE控制器。这些器件在降低系统成本、提供更高可靠性的同时,也加速了以太网供电的广泛普及。这些控制器为凌特公司(Linear)的LTC4258/59、德州仪器(TI)的TPS2383、以色列PowerDsine公司的 PD64008、美信公司(Maxim)的MAX5922A/B/C及即将上市的MAX5935。其中Linear的LTC4258/59可以对四路以太网供电端口进行管理,具有自主运行(无需处理器干涉)情况下即可按序处理有任务的功能,对每路都可以单独设置其工作模式(自动、半自动、手动、关闭)。
3 受电设备PD
  首先,PD应能通过信号线或备用线接收电源,通常由二极管对两个电源进行线“或”来实现,因为IEEE规格要求同时只能有一个线对传输电源;同时PD应该能不受电源极性的限制,这通常可以使用整流桥或其它方法来实现自动极性转换。
  当PSE用2.8V~10V之间的电压侦测时,PD必须具有表1所列的输入特性。PD的输入端口可具有高达1.9V的偏移电压(以容许二极管的压降)和10μA的偏移电流(漏电流)。
  当PSE用15.5V~20.5V之间的电压侦测时,PD需要通过吸收一定的恒流来表明自己所需要消耗的功率(可选),所以 PSE 能预算PD的功耗,同时也方便PSE对电源的管理。
  探测和分级完成后,PD就会从PSE获得一个44V~57V的电压,这时PD要遵守几条规定。在端电压升到30V以前,不应该消耗太大的负载电流,以避免与分级特征信号互相干扰;当电压达到42V时,必须处于完全工作状态。工作状态时PD端口电压应该在36V~57V之间,而当PD的端口电压跌落到30V~36V之间时,PD应该关断端口。PD工作时不能连续消耗350mA电流或12.95W功率,短时内允许有400mA的浪涌电流。PD的输入电容必须低于180μF,以便在电源接通时将浪涌电流保持在合理的水平;如果输入电容大于180μF,PD就要主动限制浪涌电流,使它低于400mA。最后,PD至少要保持10mA的电流且交流阻抗要维持在26.25kΩ或更少,以避免掉线。
  为了使PD符合IEEE802.3af标准的要求,简化设计任务,同样几大半导体厂商相继推出了PD接口控制器。可用的接口控制器有德州仪器(TI)的TPS2370/TPS2371/TPS2375,凌特公司(Linear)的LTC4257/ LTC4257-1,美信公司(Maxim)的MAX5940A/MAX5940B、MAX5941A/B、MAX5942A/B,Supertex公司的HV110K4以及Power Integrations公司的DPA423G。其中Maxim的MAX5941A/B、MAX5942A/B和Power Integrations的DPA423G将用于DC/DC转换的PWM控制器也集成在芯片中。利用它们可以实现非常紧凑且高性价比的PD供电电路。
结 语
  IEEE802.3af标准对路由器、交换机和集线器通过以太网电缆向IP电话、安全系统以及无线LAN接入点等设备供电的方式进行了规定。随着PoE的实施规模逐渐扩大,今后大量其它的应用可望涌现出来。值得关注的是,它有望推动芯片供应商为笔记本电脑和便携式设备设计耗电量低于12.95W的芯片组,届时RJ-45插口将成为一种通用电源插口,若干年后人们甚至将不记得有过以太网端口不能供电的时代。PowerDsine公司甚至预测今后五年内,企业网络设备的75%以上将由以太网供电。
  今后可以考虑将PSE电源管理芯片集成到RJ-45内,就如近几年连接器供应商将网络隔离变压器集成到RJ-45内一样。以太网供电技术同时也存在着一些问题,例如在PSE设备端口比较多的情况下,设备需要提供的电源功率会很大。这时系统的散热应该引起足够的重视,否则PSE设备将会是一个大热源。这些都有待今后加以解决。
时间:  2006-7-6 08:18
作者: qqqq

未来音频技术
  作者:美国国家半导体 Kenneth Boyce   


 

在未来一年内,数字信号处理、音频编码、数字内容缓存以及无线技术的快速发展,将可以满足消费者不断攀升的期望。由此,2006 年及以后将是一个新产品、新服务、新设备以及新商机不断涌现的新纪元。而在掌控音频内容和收听方式的市场之战中,难免会“几家欢乐几家愁”。如今大战烽火还未点燃,四大主要音频市场与技术趋势已浮出水面。

数字信号处理器 (DSP)

数字信号处理器已发展成为一种强大且经济高效的音频处理工具,让家用音频产品如虎添翼。随着性能的日益发展,未来的 DSP 将可以支持多声道、计算密集型音频处理算法。通过与麦克风及扬声器阵列相集成,这些不断改进的高性能运算将能够自动匹配收听环境,或根据环境自动改变其音响效果。  

在大房间中感受劣质音响效果就如同置身于空旷的电影院。而当舒适、真实的声音环绕在精致的客厅,这里便成为了私密的家庭影院,绝不会烦扰到周围邻居。或者,您可以戴上耳机独自感受亲临大影院般的真实与生动。您只需对音频环境以及扬声器或耳机进行选择,DSP 运算便能据此调整音频播放效果。对于商业用途,系统将能够根据房间大小变化自动进行调整(例如通过可变动分配器)。

在不久的将来,DSP 还将支持更广泛的自动调整功能,如对背景声音、回声、声音反馈以及实体扬声器/换能器异常的调整。可变化的声音环境也将能够改善计算机游戏、音乐系统以及视频娱乐系统。  

在采用强大的 DSP 时,一个平板多功能扬声器阵列取代了由前置、后置以及超低音辅助扬声器组成的传统模式,从而可大大减少音箱和线缆的数量。  

音频编解码

MP3 格式可能是当前最为流行的数字音频编码与播放格式。其它的音频编码器(如MP3Pro、AAC、ePAC、AC-3、Ogg-Vorbis以及WMA)均使用了类似的知觉边带技术。它们在数据压缩比和音频质量方面的改进程度与 MP3 有所不同,需要更快的处理器以及更复杂的编码技术。  

为提高光盘和调频收音机质量,许多编码器已可轻松实现 12:1 至 24:1 的文件大小压缩比。用户通常将这些编/解码器的“质量”与音频 CD 的“质量”进行比较。知觉编码器制造商将“光盘级质量”定义为“听者无法将其与光盘音频区分的质量”。

SA(结构音频)实为电子乐器数字接口 (MIDI),它集成了压缩数字音频与使用结构音频记分语言的命令 (SASL)。音频结构管弦乐器合成引擎支持播放大多数(若非全部)乐器声音。声音内容进行分别压缩后,在回放过程中利用合成管弦乐进行同步处理,继而“播放”音乐。  

由于大多数声音数据为合成数据(SA 管弦乐文件指令与MIDI 文件指令类似),SA 文件大小以及压缩比接近 100:1。由于重播设备将歌曲重混音,设备还能根据收听环境选择理想的混音方式,如在家庭环境中采用 5.1 杜比数字或 DTS 音效、个人收听时采用耳机混音器,在车内则使用 4 声道混音器。

新杜比编码器、Digital Plus 以及 MLP 无损压缩则可以提供更优异的性能,能够广泛用于消费者音频、汽车、个人电脑以及游戏市场。

Dolby Digital Plus 广播传输速率极为高效(5.1 声道音频传播速率小于 320kbps),能承载至少 8 个多声道音频节目。同时,它还能够在单一编码位流(将在当前杜比系统中回放)中支持多种语言。  

杜比 MLP无损压缩是多声道以及立体声 DVD 音频的核心技术。MLP 无损压缩可在 DVD 音频光盘上实现达 96 kHz/24 位 6 通声道音频或 192 kHz/24 位 2 声道音频的编码。  

数字内容缓存与网络技术

将您的音乐收藏存储在 MP3 播放器中,缓存或存储的数字内容(如个人视频录像机及 MP3 播放器)能够帮助您构建属于自己的、不受任何商业广告打扰的“无线电台”。  

网络将日益用于按需向用户传送数字内容,以及提供与当前广播媒体性能相当的流数字音频/视频。

IP 数据包优先等级将可以帮助消除令人扫兴的节目中断现象。为减少每组数据流的网络通信量,使其容纳更多用户,数据包还可被传输至多台不同的计算机。  

改善的数字内容编码算法以及新网络使用模式将可以为消费者提供新的广播以及 CD/DVD 租赁交付途径。正规的数字音乐下载服务仍将以合理的下载价格,涵盖电视节目、音频书籍、文献以及电影等媒体。继家庭影院之后,家庭娱乐网络以及家庭音频与视频系统也将陆续出炉。

网状网络如同撒向某一区域的一张渔网,而 WiFi 节点则是渔网上的每一个节,负责从中心连接处传输数据。网状网络是小城镇、乡村社区、工业区、校园以及工作小组的理想之选。网状网络相当稳定,支持数据包在群山、建筑或密林之中选择多种路径进行传输。  

当在网状网络区域内进行行驶时,可实现持续的移动互联网访问。通过为拥有标准 CD/DVD 能力的汽车娱乐系统部署 IP 网状网络连接,用户将能够浏览实时或是缓存的网络传播内容以及流媒体娱乐内容。  
社区、IP 服务以及网络公司发现,较之单个用户访问,广泛访问带来的业务模型利润更高。由此,基础 IP 网络访问将会免费提供。

未来,广泛的个人 WiFi 设备可在您不熟悉的领域大展拳脚。而只需进入网状网络,您便可“激活”这些设备。比如,方便携带且设有语音提示的 GPS 设备将可引导您到达目的地。即便没有完整的 GPS 系统,网状网络仍可向您报告您的具体方位。

无线技术

部分无线技术将大大影响您的音频未来,其中包括蓝牙 TM、ZigBeeTM、RFID 短程无线通信以及超短程磁感应。所有这些技术可为您打造出极为轻便的“个人信息空间”。

一项称为多媒体网关控制协议 (GMCP) 的开放提议标准将支持通过蓝牙TM,传送流媒体内容。GMCP 将首先用于汽车娱乐系统,但也将可以轻松扩展至其它用途,如博物馆步游等。

蜂窝电话的磁场位于车辆内部、小且私密,而磁感应设备还能支持其实现免提操作。基于蓝牙或 ZigBee 的解决方案在此类情况中并不凑效。

内置于手机的 RFID 技术可支持在行驶中,使用车辆内置的免提设备进行通话。发动机停止时,手机即恢复为正常状态。

免提汽车套件将使用可自动对准扬声器的麦克风阵列,从而能够降低背景声音、风声、震动声以及娱乐节目的声音。由此,通话与语音的清晰度也将大幅度增强。  

部署有无线网状网络的大楼可装备 IP 麦克风阵列,以提供音频安全系统,从而实现声音辨识以及地点定位。若是此楼在地震或其它灾难中坍塌,它还能帮助救援人员找到被围困或受伤的居民。  

无线 IP 扬声器还将支持智能公共地址或紧急通知系统的创建。

医院以及楼群中相同的无线网状网络将可以支持医务以及安全人员携带短程领夹式无线话筒,进行简单的语音交流、双向语音通信,并向中央调度系统发送自动定位信息。基本寻呼由整栋楼的 IP 扬声器或某个特殊话筒持有者的 IP 扬声器完成。  

类似的技术也可在家中得以利用。如借助无线互联网接入点,老人或体弱病人可在需要紧急医疗救助时进行呼叫。为使其不露痕迹,这些能力将通过微型包装,内置于私人首饰等物件中。

在支持无线访问的公共场所,您只需轻松敲击您的领夹式装置,便可让警务人员及其它紧急情况服务人员找到您并与您进行语音联系。如果您有医学鉴定信息,这一装置也将如实传送该信息。安全版装置将利用用户语音识别模式进行操作。

在未通过链接发送数据时,个人设备能收集网络周围的射频能量,从而延长其电池寿命,降低再充电频率。

家用音频市场的变化

光盘级音效通常就是大多数人所指的“高质量音频”。未来,对音频质量以及更高的数字取样率的要求将日益攀升。这也要求原材料、D-A(DVD-音频)转换器以及其它转换方案(比如 D 类)实现良好的数位转换,以还原出人耳可听到的高质量模拟信号。人耳无法听到以字节数计算的位。  

消费者将能远离商业媒体的“喧嚣”,轻松创建独特的个人音频娱乐(新歌荟萃、业余歌手的歌曲、互联网音频节目以及音频博客)。由此,商业媒体的影响将日益减弱,商业性节目对消费者的销售也将举步维艰。

新型音频产品将应运而生,并可任意访问有线和无线网络。而在小型语音邮件、电话以及会议设备中,其显示屏也将集成音频扬声器与麦克风的功能。

多声道音频/视频娱乐系统中的扬声器阵列将使线缆一片混乱的时代一去不返。扬声器阵列还将促进真正的分屏电视的诞生。分屏电视可使房间中左右两方的观众各自听到其所在方屏幕发出的声音。

数字高清电视将集成室内视频会议与远程显现特性,并结合波束形成器麦克风以及扬声器阵列,为室内一个或多个用户提供出色的语音清晰度。  

部署有两个或多个麦克风的麦克风阵列可提高语音清晰度,减少背景噪音,并将应用于大量产品(如手机、个人电脑、家庭音频/视频系统等)中。  

扬声器阵列还将用于为小型集中区域(如亭子、博物馆展示台、示范台以及宣传台)创建“声音锥区”。同时,用户还可以利用这一阵列创建“安静锥区”,以减少某集中区域的噪音。

语音激活生物 ID 系统将用于个人安全与医疗应用、室内访问、汽车、办公室以及电子商务安全。电视机、计算机、灯具以及其它电子设备均能通过声音命令进行操作。“声音到文本”以及“文本到声音”系统也将日益普及。

自动娱乐与信息系统将实现定制化,籍此,每户居民都可通过使用个性化无线耳机或双向蓝牙链接,自行选择与众不同的媒体内容。

移动和室内系统仍将与媒体供应商保持交互。听到一则广告时,您可通过直接说话(“购买歌曲”或“更多信息”)的方式,达到在互联网上点击 URL 链接的同等效果。然后,您可使用语音命令结束购买交易。

在新的音频环境中,广告将自动变短,同时消费者可提出更多问题。“XYZ 产品太适合您了!您想知道吗?”广告结束后的安静时段内,设备将检测是否有语音应答,或在无线或视频设备上是否有按键操作。广告总时长不超过 5 秒钟。  

不幸的是,随着内容提供商不断将产品促销信息穿插到音频或视频媒体节目中,未来您会受到更多的广告困扰。

在汽车中,语音提示将对交通状况、学校与安全速度区、道路状况以及紧急情况予以通告。信息将通过网状网络上的无线节点或道路上的低功耗射频天线进行传输。由于双向通信,乘客或驾驶员可迅速报告事故或其它紧急情况。此时,地理位置将自动报告。然而,由于跟踪乘客或驾驶员行动牵涉到隐私权,因此会限制这一能力的发展。

在这一勇者生存的音频新世界,到底谁将脱颖而出,谁将沉沦不振?答案唯在于:先进的技术。既能掌握技术,又能把握社会/经济要务(如易用性、隐私以及难以定论的“酷感”因素)的公司将成为最终的胜者。




时间:  2006-7-6 08:18
作者: qqqq


三相视频多路放大器加倍音视频源选择器的通道


 
随着典型家庭娱乐系统中音频和视频(A/V)源数量的日益增多(如VCR、DVD、机顶盒等),人们需要一种简单方法来选择想要的音频或视频源。但目前使用的附加式机械开关既笨重又容易磨损,导致开关性能随时间的推移逐渐下降。
固态模拟开关可以解决这个问题,但是当开关通断动作使交流耦合电容充电和放电时,无源开关会产生令人厌烦的砰砰声。如果使用三相4:1多路复用器,工程师可以设计出结构简单、能大大降低开关瞬态噪声、并具有卓越性能的A/V源选择多路复用器。
图1显示的基本4:1 A/V多路复用器利用Intersil公司的EL4342 4:1视频多路放大器,从4个外部音频/视频源(包含复合视频通道和立体声左右通道)中选择任何一个。
该电路利用通道A选择4个复合视频源中的1个,利用通道B和C选择立体声左右通道。由采用二进制编码的通道控制逻辑输入S0和S1,完成输入选择。逻辑输入兼容TTL电平,开关时间为20ns,适用于复杂的多路复用器功能中的高速数字控制。
高阻抗(HiZ)逻辑输入通过把输出放大器置于高阻状态,使三个信道全部无效。除了提供音频静音和视频空白功能之外,HiZ状态可让其它多路放大器共享同一输出,从而使输入源扩展到超过4:1的基本配置。

图1:A/V源选择器的通路中没有机械开关,它可选择四个外部音频/视频信号中的任一个。
多路复用器工作在双5V电源下,将允许视频输入和输出进行直流耦合,从而可在输出上保持复合视频源(视频、直流同步和黑色电平)。电阻R1a到电阻R4a是输入端接电阻。为实现精确的视频电缆端接,可以采用75Ω的输入端接和输出后向端接,但前提是允许6dB的吞吐量损失。
如果不能忽略6dB的损耗,则可将75Ω输入端接电阻提高到10kΩ。许多视频电缆并没有受控的75Ω特征阻抗,因此将端接电阻提高到10kΩ可在不降低视频信号品质的情况下把增益恢复到单位增益。
在音频输入通道增加电容耦合(从C1b, c到C4b, c),可以将放大器与音频源中可能存在的多余直流信号隔离开来。电阻R1b~R4b和R1c~R4c设定期望的输入阻抗并构成高通滤波器。4.7μF电容和10kΩ电阻形成一个截止频率为20Hz(3dB)的高通滤波器。使用大容量交流耦合电容的缺点是,当通道被连接到选择器输出端时可能会产生听得见的“砰砰”声。当音频源和接收器输入端之间存在直流电压差时,就可能发生这种情况。

图2:利用该电路可让图1中的电路工作在单电源下。
当交流耦合电容在开关过程中被充电和放电时,低阻抗多路开关能使输出端出现瞬态信号。对于这种情况,高阻抗输入缓存使交流耦合电容具有恒定阻抗,从而消除充放电时的瞬态信号。
利用图2中的电路,这种A/V多路复用器适合工作在单+5V电源下。输入端的10kΩ/10kΩ电阻分压器提供2.5V的输入直流偏置,并把放大器输出电压范围的中心置于2.5V到1V之间。在音频通道的输出端,10kΩ/10kΩ电阻分压器将输出交流耦合电容器上的偏置电压保持为2.5 V。因此,直流分量不会随着该多路复用器进入/退出高阻抗状态而改变(反之亦然)。
视频通道输入必须采用交流耦合方式,如果电视或监视器没有配备这种方式,可能需要加入直流恢复电路。此时,频率响应和总谐波失真加噪声(THD+N)性能与双±5V电路相同。
然而,放大器电源电压的降低将使放大器的输出范围相应下降,从双电源时的5.5V(峰峰值)下降到1.5V(峰峰值)。此时,复合视频通道仍有足够的裕度,而且音频通道中的THD将提高到大约2V(峰峰值)或者更高。

时间:  2006-7-6 08:19
作者: qqqq

小容量单片机系统的C语言程序结构.



 

引 言:

  2002年初,笔者着手写一个IC卡预付费电表的工作程序,该电表使用Philips公司的8位51扩展型单片机87LPC764,要求实现很多功能,包括熄显示、负荷计算与控制、指示闪烁以及电表各种参数的查询等,总之,要使用时间的单元很多。笔者当时使用ASM51完成了这个程序的编写,完成后的程序量是2KB多一点。后来,由于种种原因,这个程序并没有真正使用,只是作了一些改动之后用在一个老化设备上进行计时与负荷计算。约一年后,笔者又重新改写了这些代码。

1 系统的改进

  可以说,这个用ASM51实现的代码是没有什么组织性可言的,要什么功能就加入什么功能,弄得程序的结构非常松散,其实这也是导致笔者最终决定重新改写这些代码的原因。

  大家知道,87LPC764有4KB的Flash ROM,而笔者的程序量只有2KB多点,因而第一个想法是改用C语言作为主要的开发语言,应该不至于导致代码空间不够用。其次,考虑到需要定时功能的模块(或称任务,以下统称任务)较多,有必要对这些任务进行有序的管理。笔者考虑使用时间片轮询方式,即给每个要求时间管理的任务以一个时间间隔,时间间隔一到,即运行其代码,达到合理使用系统定时器资源的目的。就51系统而言,一般至少一个定时器可用来进行时间片的轮询。基于以上的想法,构造了下述数据类型。

typedef unsigned char uInt8
typedef struct {
void (*proc)(void); //处理程序
uInt8 ms_count; //时间片大小
} _op_;
数据结构定义好之后,接着就是实现代码,包括三部分,即初始化数据、时间片的刷新与时间到执行。
初始化数据。
#define proc_cnt 0x08 //定义过程或任务数量
//任务栈初始化
code _op_ Op[proc_cnt]={{ic_check,10},{disp_loop,100},\
{calc_power,150},{set_led,2},…\
};
//设置时间片初始值
data uInt8 time_val[proc_cnt]={10,100,150,2,…};
时间片刷新。
void time_int1(void) interrupt 3
{ uInt8 cnt;
Time_Counter:=Time_Unit;
for(cnt=0;cnt<proc_cnt;cnt++)
{ time_val[cnt]--;
}
}
任务的执行。
void main(void){
uInt8 cnt;
init(); //程序初始化
interrupt_on(); //打开中断
do{
for(cnt=0;cnt<proc_cnt;cnt++)
{ if(!time_val[cnt])
{ time_val[cnt]=Op[cnt].ms_count;
Op[cnt].proc();
}
}
}while(1);
}

  在上面的结构定义中,proc是不能带参数的,各任务之间的通信可以定义一个参数内存块,通过一种机制进行数据信息交互,如定义一个全局变量。对于小容量单片机系统而言,需要这样做的任务并不多,总任务量也不会太多,因而这种协调并不太难处理。

  也许大家都有这样的认识,即一个实时系统中,差不多所有的具体任务都是有时间属性的,即使是不需要定时的过程或任务,也不见得要时时进行查询与刷新。如IC卡介质检测,保证每秒一次就足够了。因而,这些任务也可以列入到这个结构中来。

  在以上的程序代码中,考虑到单片机系统的RAM限制,不能像一些实时OS那样将任务栈建立在RAM中。笔者将任务栈建立在代码空间,因而不能在程序运行时动态地加入任务,因此要求在程序编译时,任务栈已经确定。同时,定义一组计数值旗标time_val,记录程序运行时的时间量,并在一个定时器中断中对其进行刷新。改变时间片刷新中断过程语句Time_Counter:=Time_Unit;中的Time_Unit,可以改变系统时间片的刷新粒度,一般这个值由系统的最小时间度量值确定。

  同时,由任务的执行流程可知,此种系统构造并没有改变其前/后台系统的性质,只是对后台逻辑操作序列进行了有效管理。同时,如果将任务执行流程进行一些更改,并保证时间片小的任务前置,如下述程序。
do{
for(cnt=0;cnt<proc_cnt;cnt++){
if(!time_val[cnt]){
time_val[cnt]=Op[cnt].ms_count;
Op[cnt].proc();
break; //执行完成后,重新进行优先调度
}
}
}while(1);

  则系统变为一个以执行频率为优先级的任务调度系统。当然,设置此种方式得非常小心,并要注意时间片的分配,如果时间片过小,则可能导致执行频率较低的任务难以被执行;而如果存在两个同样的时间片,则更加危险,可能导致第二个具有相同时间片的任务不被执行,因而,时间片的分配要合理,并保证其唯一性。

2 性能分析与任务拆分

  以上两种任务管理方式,前一种按任务栈的顺序与时间片的大小依次进行调度,暂且称其为流水作业调度;而后一种,且称其为频率优先调度。两种方式各有优缺点。流水作业调度的各任务具有等同优先级,时间片一到即会被按序调用,时间片大小的次序与唯一性不作要求;缺点是可能导致时间片小的,即要求执行得较快的任务等待过长的时间。频率优先调度的各任务按其时间片的大小,即执行频率划分优先级,时间片小的任务,其执行频率高,总是具有较高的优先权,但时间片的分配得协调,否则可能会导致执行频率低的任务长时间等待。

  要特别注意的是,两种方式都有可能导致一些任务长时间等待,时间片所设定的时间也因此不能作为精确时间的依据,根据系统的要求或需要,甚至要在任务执行过程中进行某些保护工作,如中断屏蔽等,因而在进行任务规划时要注意。如果一个任务较繁琐或可能要等待很长时间,则应当考虑任务的拆分,把一个较大的任务细化为较小的任务,把一个费时长的任务划分为多个费时小的任务,协同完成其功能。如在等待时间长的情况下,可附加一个定时任务,定时任务到则发送一个消息旗标,主过程没有检测到消息旗标就马上返回,否则继续执行。下面是示例代码,假定该任务将等待很长时间,现将其拆分为两个任务proc1与proc2协同完成原来的工作,proc1每100个时间单位执行一次,而proc2每200个时间单位执行一次。

//定义两个任务,并将其加入到任务栈中。
code _op_ Op[proc_cnt]={…,{proc1,100},{proc2,200}};
data int time1_Seg; //定义一个全局旗标
//任务实现
void proc1(void){
if (time1_Seg)
exit;
else
time1_Seg=const_Time1; //如果时间到了,则恢复初值并
//接着执行下列代码。
… //任务实际执行代码
}

void proc2(void){  
if(time1_Seg)  
time1_Seg--;  
}

  由上例可以看出,任务拆分后,几乎不占过多的CPU时间,使得任务的等待时间大减,让CPU有足够的时间进行任务管理与调度。同时也让程序的结构性与可读性大为加强。

结 语

  基于上述思路与结构对IC卡电表工作程序进行全部改写后,系统的结构性能得到了很大改善。全部编写完成后,程序代码量约为3KB多一点,可见此种结构的程序构造并不会造成很大的系统开销(大部分开销是由于使用C的结果),却使开发得到了简化。这只要将系统细分为一系列任务,然后加入到任务栈进行编译即可,很适合小容量单片机系统的开发,而笔者也在多个系统中成功地应用了此种结构。




时间:  2006-7-6 08:19
作者: qqqq


USB电路保护设计方案


 
PPTC(聚正温度系数)装置是对电脑及有关装置提供电流过载保护的一种既可靠又经济的解决方案。由于这种装置设有各种尺寸和功率范围,让电路设计者可以选择最合适的款式以满足电路设计和USB规范的需求。
  现时的USB技术使得各种能够自行识别的外部设备与电脑联接,并自行装载驱动程序以运行新的装置。而一般即插即用装置,需要有电源的USB接口进行数据传输并提供电源。当发生短路或连接了受损设备时,如受损的电缆或联接头插入USB接口时,必须对USB集线器及主机装置提供有效保护。由于这种情况在PC或集线器的使用过程中经常发生,USB规范中要求对装置进行可复式电流过载保护,特别指出"PTCs"是理想的保护技术。
  USB装置可归类为向USB提供额外联接点的集线器,或是为系统提供其它功能的功能模块(例如数控操纵杆)。集线器装置还可进一步分为总线供电和自供电两种。
  总线供电集线器从USB连接头的电源插脚取得所有内部功能模块和后续接口所需的电源。集线器从前级的装置中可获取高达500毫安的电流。而有源总线集线器的外接口每个可获得高达100毫安电流,最多可有4个外接口。
  自供电集线器用于内部功能和后续接口的电源并不是来自USB接口,尽管前级的USB接口能够提供100mA的电流并使得当集线器发生断电时,界面仍然能够正常运行。集线器必须能够为所有後级联接上提供高达500毫安电流。
  USB规范对电流过载保护的要求如下:
  * 为安全起见,主机和自供电集线器必须提供电流过载保护。
  * 集线器必须设有对电流过载检测并且能够将检测结果传达给USB软件。
  * 如果由于一批后续接口取电而导致整体电流超过预设定值,电流过载保护电路必须要能够消除或减少所有受影响的后续接口的电源。
  * 电流过载跳闸点不能超过5.0安培,而且必须高於最大允许的接口电流,使得瞬间电流(例如,电源打开或动态联接或重新配置时)不会导致电流过载保护器跳闸。
  USB规范要求可以有独立或集合两种电流保护方案。使用PolySwitch分别保护独立接口, 能对后续电源联接提供有效的保护设计。当某个接口发生故障,该接口的PolySwitch装置跳闸,相邻的接口仍能够正常运行。独立接口保护也使得设计者选择较轻巧、反应较为迅速的装置。
  图1是独立接口保护的基本电路及电流过载报告电路。在正常情况下,PolySwitch装置的后续设备电压(Va)约为5V。在发生故障时,Va会趺至低于1V。
  为了减小USB电缆上的EMI辐射,通常在Vcc插脚都串接有铁氧体磁环。磁环的串联阻抗以及RF阻尼是重要的依据。选择"导线加磁环"的配置主要是由于它具有较低的直流串接阻抗。而"电容器输出"的布局,在串接磁环后面再并联输出电容器,是使后续接口发挥优良电流特性的理想布局。

图1. 独立接口保护电路低压触发电流过载插脚故障报告
  电路板布局考虑
  为了达到USB1.1和USB2.0中有关电压降、电流以及EMI辐射的规范要求,可按照下面的指引设定PCB布局:
  * 所有正电源线布线应尽可能短并至少应用50-mil或1-ounce的铜线。
  * 尽可能避免过孔布线,如果不可避免,需将布线适当加粗。
  * 如果使用电源总线开关,将它放置靠近USB连接头。
  * 如有可能,分开火线和地线层次。
  * 如使用多层板,将开关部分(后续电路)和非开关部分(逻辑电路或前级电路)分开。

时间:  2006-7-6 08:20
作者: qqqq


利用可编程逻辑器件设计有限冲激响应滤波器
  
 
  1 引 言
  一个模拟集成运算放大器可实现一个二阶滤波器,高阶滤波器可由二阶滤波器串联而成。然而,无源元器件实现滤波器的误差值为1.5%或更高,这需要提高元器件的性能。滤波器的典型的调试方法是不断的更换元器件值。而且,运算放大器要获得高的增益带宽,需要相位漂移保持最小或要保持闭环系统的稳定,这必然增加工程中实现滤波器的难度。
  随着数字信号处理的发展,数字滤波器比传统的模拟滤波器在设计的选择中更有吸引力。因为数字系统的信号是数字量,他相对于模拟滤波器更容易进行滤波代数运算。而且,数字滤波器没有模拟滤波器随时间、温度、电压漂移的优点。他能很容易地实现过滤低频信号的设计目的。还有,数字滤波器能实现近似的理想响应和线性的相位。
  2 几种数字滤波器算法
  有许多好的方法确定滤波器的算法。首先,设计人员估计要设计的滤波器的性能,由传递函数实现。连续时间域的传递函数变换成离散时间域的线性差分方程,这个差分方程在Z域的通式如下:

  这是冲激传递函数方程。他实际是连续时间域的滤波器的单位冲激响应在Z域的变换式。相反,滤波器的冲激响应是Z域的单位冲激函数的反变换。系数an和bn决定数字滤波器的响应。改变系数就能改变滤波器的响应。Z一n和Z一m项表示分支的延迟或锁存。G(Z)方程表示对输入信号进行代数运算。输入信号与do相乘加上采样值与dl的乘积再加上采样值与d:的乘积,如此类推。当所有N项完成乘积与累加运算,则就计算出了输出值。同时,输出值被锁存,分别与b0,b1,b2,…,bm系数相乘,然后把乘积累加起来,再与原输出的累加值相加得出最终的输出值。这个过程称作回旋。一个输出值由每个输入值计算而得。
  设定滤波器的系数是设计滤波器的关键,因为他能设计出预计的频率响应。递归数字滤波器或无限冲激响应滤波器(Infinite Impactive Reaction,IIR)是设计研究方法和模拟滤波器最相近似的典型数字滤波器。
  一种确定系数的方法是先确定一个能在连续时间域实现Chebyshev,Butterworth或等纹波的滤波器,然后用Z变换把连续时间域的传递函数转化为离散时间域的传递函数,这样滤波器系数就可确定。
  第二个常用方法是使用双边变换。这个方法的步骤是工程师先设计一个模拟滤波器,这是为了将来转变数字滤波器;设计的模拟滤波器满足要求的数字滤波器的性能指标;这个模拟滤波器经过5变量的拉普拉斯变换再经Z变量的Z变换就转换成了数字滤波器。
  第三种方法称为Parks-McCcllean法。在这个方法中,带通滤波器和带阻滤波器的边缘和阶数是确定的。冲激响应系数被系统修改以便在每个近似的带通中获得一个等纹波性能。使用这种方法,滤波器在阶数方面不是很先进。因而需有一个捷径来确定滤波器的阶数,且这个捷径能减少通过使用方程来确定一个满足性能指标的阶数。 有限冲激响应滤波器和无限冲激响应滤波器都有各自的优点和缺点。有限冲激响应滤波器的性能稳定,因为他没有输出反馈量且冲激响应是有限的;他的幅度和相位是任意设定的。另一方面,有限冲激响应滤波器通常需要数量较多的分支抽头。因而,输出值需经大量的代数运算。他的设计方法与模拟滤波器的设计方法不相近似。无限冲激响应滤波器需要很少的系数,但要输出反馈使得电路变得复杂。如果由于数字运算误差不能选择适当的系数,稳定的无限冲激响应滤波器变得不稳定。 在设计数字滤波器中产生的4种主要误差,他们都是量化误差:
  ①输入信号的模数转换量化误差;
  ②系数的量化误差;
  ③由于数字运算,包括溢出产生的量化误差;
  ④有限循环量化误差。
  有各种各样的计算机软件帮助工程师设计滤波器,如美国亚特兰大信号处理公司的设计软件DFDP。
  3 利用可编程逻辑器件设计有限冲激响应滤波器
  图1为利用可编程逻辑器件设计有限冲激响应滤波器的功能模块图。


  为了有高的性能和较强的灵活性,在滤波器的设计中使用可编程逻辑器件。有限的参数将进行乘法/累加的运算,分支抽头将占用硬件大量的物理空间。电路设计使用一个工作速度为85ns的单口16b乘法/累加器,这个器件可工作在双数运算状态和饱和输出能力。由于器件的微码基础使得他很容易被可编程逻辑器件控制。
  首先,系统必须把N(N=64)个采样值装入队列FIFO(在反馈发生之前),否则队列就装不满。计数器在20RAl0中正常工作。一个6b异步计数器使用4b。SMP-DN随时钟工作(模数转换器完成采样值转换),最高的2b作为纹波计数器。SMP_DN信号由20RAl0产生,但由模数转换器触发。
  当计数器的计数值为63时,样本值已被保存在移位/保持寄存器中;GO信号变为真,系统开始滤波算法运算。系统与2个异步的子系统相联(模数转换器、乘法/累加器),两者之间必有一个异步接口。20RAl0用于产生接口信号SMP/CON(采样模式和转换模式)。这个引脚为高电平,系统为采样模式(SMP/CON=1)。当GO为真且模数转换器的时钟为下降沿时,则SMP/CON为低电平(与MCLK异步,与SCLK同步)。因为SMP/CON信号作为状态机的输入,器件可能遇到抖动输入。Lattice公司的可编程逻辑器件有很高的工作速度,他的去抖动输入能力较强。由于去抖动技术的应用,使得数据丢失的状态的概率变得很小。因而状态机不得不等待,至多等一个MCLK周期再开始变换。当变换开始,把采样值装入乘法/累加器;然后,把系数装入乘法/累加器进行乘法累加运算,直到N个采样值都完成运算。这时输出有效,循环可重新开始。这个过程可用有8个状态的状态机来表示。
  状态机根据代码状态的正确与否来控制乘法/累加器的状态变化。2个输出使能信号XOE和YOE-MCDN控制是否把数据装入乘法/累加器。CON_DN信号表示N个采样值已转换完成。为了使状态位(XOE,YOE_MCDN,CON_DN)产生输出,使用了状态变量(SEBIT)。如果状态变量为被使用,那是不允许有2个状态位被强行置为相同的状态。在设计中利用Lattice公司的可编程逻辑器件上电复位功能,在高电平后寄存器被置为0,精心设计的状态机处于开始状态。
  除了重新开始置数阶段,每时每刻都产生SMP_DN信号(采样值进行模数转换)。当SHIT_IN信号置位时采样值从移位/保持寄存器移入队列。每当XOE置位时一个系数装入乘法/累加器。开始转换的第一个XOE信号在开始置数或采样模位式移人队列阶段一直保持到新的数据装入移位/保持寄存器。跟下来的XOE信号是YOE_MCDN信号(Y输出使能信号和乘法/累加器使能信号)。YOE_MCDN控制队列数据输出和数据装入移位/寄存器的并行操作。接着一个采样信号从队列中移出。这时下一个数据移人移位/保持寄存器的XOE已准备好了,系统如此循环。直到SMP/CON信号变为采样模式,新的采样值被移人移位/保持寄存器,循环又重新开始。SMP/CON信号输入到状态机,允许系统重新开始循环。这个控制信号由模式控制器产生。当转换结束时用TC来指示(终点计数值)。TC信号由6b系数计数器产生,当计数值为63时TC信号有效,他说明所有的64个采样值已完成运算。ORDY信号由队列产生。他告诉状态机队列中的采样值是有效的。状态机不断地把系数送入乘法/累加器直到ORDY信号为真。这时状态机将会转向下一个状态。如果乘法/累加器的循环时间不超过队列的使用时间,状态机认为这是输入状态ORDY信号将会一直为真。
  改变乘法/累加器的指令只须简单地改变输出不同值的变量的代码。如果使用了E2CMOS器件,可方便地再编程和放回电路中。由Lattice公司出品的E2CMOS的22V10就是用于这种用途的可重复编程的器件。
  双64BX8b的队列器件用于滤波器的分支抽头。队列装入N个采样值。这个采样值被移出队列移进乘法/累加器进行处理,同时这个采样值也保存在移位/保持寄存器中然后移回到队列中下一个被移人乘法/累加器进行处理的采样值的前面。在所有的N个采样值都被处理完,旧的采样值被移出队列,新的经模数转换的采样值被装入队列。这时乘法/累加器输出一个滤波器结果。可编程逻辑器件可作为数字滤波器与模数转换器的接口;数字滤波器的临时贮储器和队列的控制器。
  移位/保持寄存器可由20V8实现。在采样模式(SMP/CON=1),器件的功能为移位寄存器。20V8在模数转换器的控制下串行输入数据,这时20V8处于保持模式,数据不会丢失。当系统进人转换模式(SMP/CON=0),数据立刻被并行置入移位/保持寄存器。 系数被保存在用可编程逻辑器件仿制成的ROM中。如果使用E2CMOS器件,滤波器的系数可很容易的改变只需重编可编程器件。地址计数器用于获得系数的正确次序。因为64抽头需要64个系数,64个系数只需6b地址。6b系数地址计数器可在22V10中完成。6b系数地址计数器是由计数使能信号控制的同步计数器。他的时钟与乘法/累加器的时钟信号同步。计数器的使能端与乘法/累加器的XOE相联。因而计数器只有在一个系数移入乘法/累加器时才计一次数。当计数值达到63时TC信号变为真,说明64个系数已运算完。复位端变为高电平,计数器又处于已知的开始状态。
  剩下的4个逻辑微单元用于产生队列的控制信号,这些信号是异步的。信号由系统是否处于开始置数、采样、转换--包括移入、移出和移位/保持寄存器的时钟信号的产生的状态决定。当转换开始,状态机设置CONV_DN和MCLK信号,SMP/CON被MCLK信号同步置位。这些信号使得移位/保持寄存器的时钟信号CLKIN产生变化,寄存器在模数转换器的控制下移入有效的采样值。
  4 一个具体的有限冲激响应滤波器
  系统需要133个MCLK完成一次循环转换。使用11.7MHz的时钟,这个过程需要花费约11.4μs。系统的模数转换器通过一个串行口输人数据到移位/保持寄存器需花费3.3μs。系统采样输入信号的周期为11.4+3.3=14.7μs或频率68kHz。奈奎斯特(Nyquist)定理说一个信号要高于他的2倍采样信号采样才能保持信号信息的完整性。因而一个信号被滤波则系统器件的工作频率为34 kHz。使用ASPI公司的软件,运用Parks-McCcllean方法设计滤波器。他的中心频率为20kHz,带宽为5 kHz,传输区域从2kHz开始,如图2所示。


  由于使用了可编程逻辑器件,使得上述系统有限冲激响应滤波器很容易改为无限冲激响应滤波器。在新的采样值输入进队列之前,最终的输出值被送会队列。如果提高系统的性能,要使用存储容量更大的队列器件和速度更快的乘法/累加器。因为使用了速度为15ns的可编程逻辑器件,所以这些器件不再是限制因素。如果使用并行模数转换器,64BX8b队列器件和45ns的乘法/保持累加器;系统不经很大改动就可达到167kHz的工作频率。
时间:  2006-7-6 08:20
作者: qqqq

电子系统EDA集成开发环境框架结构


 


摘要:EDA集成开发环境是电子系统开发必备的工具与手段。本文根据目前的EDA工具软件及其套件结构和电子系统的开发需要,提出三种不同类型的典型EDA集成开发环境框架结构——板级、芯片级和综合型电子系统EDA集成开发环境框架结构,并以图示的方式进行描述。


关键词:电子系统 电子设计自动化(EDA) 集成开发环境(IDE) SoC 框架结构

引 言

  电子系统EDA集成开发环境IDE(Integrated Development Environment)是指根据电子系统设计流程,将设计流程中各个阶段所需要的不同的EDA工具软件集成在一个硬件平台上,进行项目设计开发的软硬工作环境。在此环境中,项目的设计数据通过文件方式在各个EDA工具之间流转,就像工厂里生产流水线上的产品流动一样,直到产品生产全过程结束。

  由于各个EDA工具开发商在不同的设计环节有不同的专长,其EDA工具也有不同的特色。有的EDA工具行为描述能力强,不仅具有算法级和寄存器传输级行为设计描述能力,而且还具有系统级行为设计描述能力;有的EDA工具的逻辑综合效率高,占用资源少;有的EDA工具时序仿真较为精确,设计的项目能够工作于较高的频率;有的EDA工具界面友好,容易使用。选择优秀的EDA工具,构成超级的电子系统EDA集成开发环境是项目开发工程师首要的任务之一。通常,选择优秀的EDA工具有如下几个约束条件:①EDA工具的使用授权限制;②设计语言、设计数据、设计格式的兼容性;③EDA工具与计算机及其操作系统的兼容性问题;④设计项目实际需要的限制。

  不同的设计对象,其EDA集成开发环境架构有很大的差别。例如,在经典的板级电子系统设计中,通常是将许多专用集成电路(ASIC)芯片、通用的门电路芯片设计在一块印刷电路板(PCB)上来完成整个电子系统设计,其设计的基本素材的集成电路芯片;在现代的芯片级电子系统设计中,通常是将许多的软、硬知识产权(IP)核或电路模块设计在一个超在规模集成电路芯片上来完成整个电子系统设计,其设计的基本素材是可复用的IP核或电路模块。随着集成电路技术的发展,电子系统设计开始逐渐从经典的板级系统设计转化为现代的芯片级系统设计,因此电子系统设计工程师的开发工作也逐渐从板上电子系统设计转向片上系统(SoC)设计,设计的基本构件也从通用的IC转为复用的IP。

  本文根据目前的EDA工具软件或套件的结构,从电子系统的设计开发需要出发,把电子系统EDA集成开发环境分为三种类型:板级电子系统EDA集成开发环境、芯片级电子系统EDA集成开发环境和综合型电子系统EDA集成开发环境,并且提出此三种类型的EDA集成开发环境的框架结构,以便电子系统设计者从总体上了解和掌握电子系统集成开发环境使用方法和构造方法。

1 板级电子系统EDA集成开发环境

  典型的板级电子系统EDA集成开发环境如图1所示。此种类型的集成开发环境通常是由板级电子系统的硬件系统集成设计环境(即PCB集成设计环境)和软件系统集成设计环境两个部分构成的。

  任何一种板级电子系统都是由硬件和软件两个子系统组成的,因此一个完整的板级电子系统集成开发环境,除了用于硬件系统开发的EDA集成设计环境外,还应当包括软件实时仿真开发调试环境。对于低端的微控制器应用系统,通常是由硬件仿真器及其配套仿真软件组成的(见图1中部);对于高端32位嵌入式处理器应用系统,通常是一种基于嵌入式实时操作系统RTOS(Real-Time Operating System)平台(见图1底部)。

2 芯片级电子系统EDA集成开发环境

  芯片级电子系统EDA集成开发环境,也是一种集成电路(IC)EDA集成开发环境。在集成电路设计中,不同的设计方法和设计流程需要不同的EDA集成开发环境。根据设计对象的不同,芯片级电子系统EDA集成开发环境可分为专用集成电路(ASIC)集成设计环境和片上系统(SoC)集成设计环境。这里需特别指出的是SoC和ASIC概念的区别。从电子线路的角度理解可以认为:ASIC是一种具有某种特定功能的大规模集成电路芯片,如VGA图像处理芯片、PCI接口芯片、视频放大芯片等;而SoC是一种集成了微处理器、存储器、外围电路和软件系统程序的自成系统的超大规模集成电路芯片。

(1)ASIC集成设计环境

  典型的ASIC集成设计环境如图2所示。由图2可以得出,在此集成设计环境的设计流程中,可以生成五种不同类型的产品:①经过功能验证后的软IP核;②经逻辑综合验证后的固IP核,③可编程的专用集成电路(ASIC)器件;④由ASIC版图生成的硬IP核;⑤由代工厂生产的ASIC芯片。

(2)SoC集成设计环境

  典型的SoC集成设计环境如图3所示。此集成环境是一种典型的软硬协同设计集成环境(或平台)。在此集成设计环境的设计流程中,也可以生成五种不同类型的产品:①经过功能验证后的软IP核;②经逻辑综合验证后的固IP核,③可编程的片上系统(SoPC)器件;④由SoC版图生成的硬IP核;⑤由代工厂生产的SoC芯片。

  片上系统(SoC)设计所需要的EDA工具,若从硬件设计角度看,在设计流程的前端设计与ASIC设计差别不大;但是,从整个芯片设计角度出发,这两种类型的芯片设计有较大区别。这是因为在SoC设计中,一般都含有微处理器核,所设计的系统级芯片都必须具备有设备驱动程序与操作系统或嵌入式实时操作系统接口,并且具备有应用程序完成数字计算、信号处理变换、控制决策等功能。因此,在设计的前期,要软、硬件协同设计,确定哪些功能是由硬件完成的,哪些软件功能是由软件完成的,需要进行一个适当划分。在设计的中后期,要进行软硬件协同验证,即把软硬件设计放到一个虚拟的集成环境中进行仿真验证,以便验证硬件的性能是否达到设计目标,软件功能是否实现设计要求。

3 综合型电子系统EDA集成开发环境

  典型的综合型电子系统EDA集成开发环境如图4所示,通常称其为整机型或混合型电子系统EDA集成开发环境。这种类型集成开发环境综合集成了芯片级和板级电子系统设计的EDA工具,可以完成如下三个方面工作:印刷电路板(PCB)设计、专用集成电路(ASIC)芯片设计和片上系统(SoC)芯片设计。在此集成开发环境中,PCB设计平台完成经典的板级电子系统设计工作,ASIC设计平台完成专用集成电路芯片设计工作,SoC设计平台完成现代芯片级电子系统设计工作,而PLD设计平台完成可编程型的ASIC和SoC芯片(包括CPLD/FPGA、SOPC等芯片)的设计工作。

4 电子系统EDA集成开发环境比较分析

  无论是板级电子系统EDA集成开发环境,还是芯片级电子系统EDA集成开发环境,都不能全面地反应现代电子系统设计的实际现状,即在板级电子系统设计中存在有专用芯片设计问题,而在芯片级电子系统设计中存在印刷电路板(PCB)设计问题。存在这一实际问题的主要原因有如下两个方面:①在板级电子系统设计中,为了某种特殊需要(如简化系统逻辑设计、缩小PCB体积、提高系统的运行速度和可靠性等),或特殊功能要求(如特殊算法功能、特殊的数字信号处理功能等),需要采用一些用户自行设计的专用芯片,特别是可编程专用芯片。因此,在板级电子系统集成开发环境中需要融入芯片级电子系统设计的EDA工具。②在芯片级电子系统设计中,就目前的技术现状而言,即使是系统级芯片(SoC),由于集成电路规模和集成电路技术的限制,不可能把整个实际的电子系统电路完全集成在一个芯片内,需要把不能集成在芯片内的功能用另一个或多个芯片或器件来实现,而把多个芯片组合成一个电子系统就需要通过PCB,这就需要在芯片级电子系统集成环境中嵌入板级电子系统设计的EDA工具。正是基于上述原因,在综合型电子系统集成环境中,通常需要在板级电子系统EDA集成开发环境中融入芯片级电子系统设计的EDA工具,而在芯片级电子系统EDA集成开发环境中嵌入板级电子系统设计的EDA工具,因此称之为“综合型或混合型电子系统EDA集成开发环境”。这种综合型电子系统集成开发环境,是目前EDA工程技术的一种发展趋势。虽然,从表面上看,综合型电子系统集成环境只是板级电子系统EDA集成开发环境和芯片级电子系统EDA集成开发环境的一种组合,但是其与简单的组合有着本质的差别。这种差别源于EDA集成环境中的一个重要概念——EDA系统的框架结构。

  EDA系统框架结构是一套创建电子系统集成设计环境和使用EDA工具软件的规范,能将来自不同EDA厂商的EDA工具软件进行优化组合,集成在一个易于管理的统一环境之下,支持任务之间、项目之间、设计工程师之间的信息传输和工程数据共享。这是并行设计工作和自顶向下设计方法的基础,也是构建电子系统集成设计环境或集成设计平台的基本规范。目前,主要的EDA系统都建立了框架结构,并且它们都遵循国际计算机辅助设计框架结构组织——CFI(CAD Framework International)的统一技术标准。




时间:  2006-7-6 08:20
作者: qqqq

外挂Flash的TMS320VC5409引导装载设计




    TI的5000系列DSP提供多种引导装载模式,主要包括HPI引导装载、串行EEPROM引导装载、并行引导装载、串行口引导转载、I/O口引导装载等,其中使用Flash的并行引导装载是最常用的一种,使用该种方法可以尽快地开发出样机,是加快开发进度的首选。以下将详细介绍并行引导装载的过程,其它引导过程可参考TI公司有关Bootloader的技术资料。

1 Bootloader分析

    TI公司的DSP芯片出厂时,在片内ROM中固化有引导装载程序Bootloader,其主要功能就是将外部的程序装载到片内RAM中运行,以提高系统的运行速度。

    TMS320VC5409是TI公司的一款定点DSP芯片,其指令周期可达10ns,片内有32K×16位的RAM,性价比极高,被广泛应用在嵌入式系统、数据采集系统中。TMS320VC5409的Bootloacler程序位于片内ROM的0F800H~0FBFFH空间。系统上电时,DSP将检查外部引脚MP/MC的状态,如果该引脚为高电平,则DSP按微处理器模式启动;如果该引脚为低电平,则DSP按微计算机模式启动。此时,系统从0FF80H地址处开始执行程序,0FF80H是Bootloader的中断矢量。因此,如果系统上电时MP/MC的状态为低电平,DSP将从FF80H处跳转到F800H开始执行TI的Bootloader自动装载程序。

    进入Bootloader程序后,首先检查DSP引脚INT2的状态,如果为低电平,则进入HPI引导装载模式,如果INT2为高电平则接下来检查INT3引脚。如果INT3引脚为低电平,则进入串行EEPROM引导装载模式,如果INT3为高电平则进入并行引导装载模式。当并行引导装载模式失败后,系统会自动进入串行口引导装载模式.串行口引导装载失败后,系统又将进入I/O口引导装载模式。

    当进入并行引导装载模式后,DSl 首先读取地址为0FFFFH的I/O空间单元,并将该单元的值作为引导表的首地址.在数据地址空间中读取引导表的第一个字,如果该字的值为10AAH,则进入16位引导模式,如果低字节为08H,则再进一步读取下一个值,如果该值的低字节为AAH,则进人8位引导模式。如果从I/o地址空间中读取的引导表首地址所对应的引导表中得不到正确的引导信息,那么DSP将会从数据空间的0FFFFH地址再去读一个字作为引导表首地址,进而再从引导表首地址读取一个字。如果该字为lOAAH,则立即进入l6位引导模式,否则,将按8位方式处理:从0FFFFH读取一字节作为引导表首地址的低字节,从0FFFEH读取一字节作为引导首地址的高字节,再从引导表首地址读取一个值,如果低字节为08H,则再读引导表的下一个值,如果为AAH,则说明外部存储器是8位宽度,进入8位引导装载模式;如果以上步骤不能获取正确的引导信息,那么DSP将进人串行口引导装载模式。

    如果并行引导装载成功,DSP将从装载后新的程序开始地址执行程序。详细的并行引导流程图如图1所示。

2  硬件设计

    在本系统中,Flash选用的是Atmel公司的AT29LVl024,AT29LVl024容量为64K×16位,可以满足一般的应用要求,并且其工作电压为3V~3.6V,可以直接与TMS320VC5409接口而不必再使用电压接口芯片进行转接,使硬件电路更为简单。
     从TMS320VC5409的存储器空间映射得知,在MP/M C=0 时,外部存储器空间映射的地址范围为8000H-FFFFH共32K,因此Flash中的程序部分必须位于8000H~FFFFH的地址范围,才能成功引导。笔者的程序量小于32K×16位,因此可以将Flash的地址线A0-A15直接与DSP的地址线AO~Al5相连,然后将程序烧录到Flash的32K之后的位置,这样程序刚好位于DSP的8000H~FFFFH范围。如果程序量大于32K字,则可将Flash的前32K地址编码在DSP的8000H~FFFFH范围.采用分页的方式,逐步装载需要运行的程序。 本文中,采用从DATA空间引导,具体的电路连接如图2所示。  

    Flash的地址线AO-A15直接与DSP的低16根地址线A0-A15相连。
    Flash的16位数据线DO-D15直接接DSP的16位数据总线D0-D15。
    Flash的写信号WE直接接高电平(因为对Flash的编程采用编程器烧录)。
    Flash的片选信号CE直接接低电平或者接DSP的DS引脚。 Flash的读信号OE接DSP的存储器读信号MSTRB。
    DSP的MP/MC引脚接低电平。
    DSP的INTl-INT3引脚通过上拉电阻接高电平。

3 代码设计和数据转换

    硬件设计完成后,可以编写一段代码进行测试。

    在TI的DSP开发环境CCS中建立一个工程项目test pjt,包括三个文件:test_main.c、test_vector.asm和load.cmd。它们各自代码见本刊网WWW.dpj.com.cn。

    需要说明的是,虽然DSP和Flash都是16位的,但是一般的编程器都是按8位从.hex文件中读取数据.每读一个字节,地址就会增加1,因此连接命令文件中必须将memwidth和romwidth的值设置为8,否则编程器读取hex文件时会出现错误,这通常是导致引导过程失败的主要原因。

    连接命令编写完毕后,将test.out文件、连接命令文件load.cmd以及hex500.exe文件拷贝到同一目录下,在Windows系统命令提示下进入该目录,执行命令行:

    hex500 I0ad.cmd

    即可生成test.hex文件,同时还生成mxp文件test.mxp,供查看详情。

    test.hex文件生成后,运行编程器烧录软件(本设计用的是西尔特的suPERPRO 6 8 0),选择器件为 AT29LVl024。打开test.hex文件,此时会发现AT29LVl024的地址范围是0 0 0 0 0H-1FFFFH共128K,而不是0000H-FFFFH,这就是为什么需要将存储器数据宽度设定为8以及将程序烧录起始地址bootorg设置为0xl8000的原因。0x18000除以2为0x0C000,也即,燃录地址Oxl8OOO在系统中的地址为OxOC000,位于8000H~FFFFH的外部存储器映射范围内。

    另外,还发现编程器软件打开的test.hex文件中,最后两字节并不是引导表的首地址(这大概是HEX500有什么bug的缘故吧),因此需将1FFFEH的内容改为COH,将1FFFFH的内容改为00H,C000H是本例中引导表在实际DSP系统中的首地址。将18000H的内容从08H改为10H,此处为08H是因为连接命令文件中的数据宽度设置为8位,而系统中DSP和Flash的实际数据宽度都为16位,引导过程应该采用16位引导装载.因此需要将08H改为10H。修改完成后,还需将整个文件的高低字节进行交换,因为编程器打开的.hex文件高字节在前,低字节在后,而16位Flash的编程是低字节在前高字节在后。经过如此修改和调整后,即可对Flash器件进行编程了。

    将编程后的Flash装到系统中,用示波器查看DSP的引脚XF,如果有方波出现,则说明引导装载已经成功,程序代码已被调入到内部RAM中运行。

结语

    本文所叙述的引导方法在四通道数据采集系统中得到了有效的验证,并且整个过程操作起来非常方便快捷。



时间:  2006-7-6 08:21
作者: qqqq


用于433MHz ISM频带的低功耗超再生接收器


  
  设计师经常选择超再生接收器(super-regenerative receiver) ——尽管它的频率不稳定,选择性较差——用于那些以功耗为主要问题的电池供电短距离无线应用,例如远程无钥匙进入系统、汽车警报、生物医学监视器、传感器网络、计算机外设(参考文献1)。超再生检测器还能通过斜率检测来解调频率调制信号。对检测器做调谐,使信号处在检测器电路的选择性曲线的斜面上。本设计实例介绍了一种功耗低于 1 mW 的超再生接收器,它工作在无需许可证的 433 MHz ISM(工业/科学/医疗)频带。
  在超再生接收器最简单的形式中,它包含一个射频振荡器,该振荡器被一个“猝熄信号”或频率较低的波形定期通断。当猝熄信号接通振荡器时,振荡开始产生一条指数上升的包络线。在振荡器的标称频率处施加一个外部信号,就会加快这些振荡的包络线的上升。从而被猝熄的振荡器的振幅占空比的变化与被施加的射频信号的振幅成比例(图 1)。


  超再生检测器能接收调幅信号,并且非常适合于检测 OOK(通断键控)数据信号。超再生检测器构成了一个采样数据系统,即每个猝熄周期都采样并放大射频信号。为了精确地重新构造原始调制,猝熄发生器的工作频率必须是原始调制信号中最高频率的数倍。添加一个包络检测器,后面跟一个低通滤波器,就能改善调幅(AM)解调(参考文献2)。
  图2是超再生接收器电路(图3)的方框图。该接收器的核心包含一个普通的Colpitts配置LC振荡器,该振荡器的工作频率是由 L1、L2、C1、C2、C3的串联共振确定的。关断晶体管Q1的偏置电流,就能猝熄振荡器。(请注意:增加C1和C2可改善振荡器的频率稳定性,代价是功耗增加。)与栅/阴相连的晶体管Q2和Q3组成了天线放大器,它改善了接收器的噪声系数,并在振荡器和天线之间提供了一定的射频隔离。为了省电,放大器只在振荡增强期间工作。


  猝熄发生器基于史密特触发器电路,可作为振荡器和射频放大级的开关。为了提高灵敏度,C5两端的三角波形用于猝熄振荡器,而IC1输出端的方波则作为射频放大器的开关。猝熄放大器的两路输出的相位被设成正交形式,因此当检测器的振荡开始增强时,射频放大器已获得了能量。该电路的猝熄频率是100 kHz,以便实现速率高达20 Kbps的数据传输。
  包络检测器包含一个共源放大器,根据标称偏置,该放大器工作于B类模式。为了提高该级的增益,可施加很小的偏置电流,来使它工作在 AB 类模式。为了减小振荡器的LC振荡电路的负载,C10连接到电感L1的某个抽头,如电感L2所示。


  数据恢复电路中的第一级包含缓冲器IC2A、放大器IC2B,以及一个三阶低通滤波器(用于抑制包络检测器输出中的猝熄频率分量)。直流耦合的史密特触发器电路IC3从被解调的信号中提取发送的数据。一个由C12和R16组成的低通滤波器提取解调信号的直流分量,并设定史密特触发器的判定阈值。结果,数据发送器必须使用一种直流电平衡的编码方案(如曼彻斯特编码)用于调制。在接收端,不需要额外的有源元件就能提取数据恢复电路的判定阈值,这有助于把接收器的功耗降至最低程度。


  样机占用一块尺寸约为5cm x 3cm的小型电路印制板(图4)。利用一种简单的自制PRBS(伪随机二进制序列)发生器(它使用带有28:1比特序列的曼彻斯特编码,见参考文献3),BER(误码率)测量产生了图5 中的结果。这些结果证明:在1 kbps 时,对于10:4 BER,灵敏度低于-100 dBm。接收器在3V时消耗 270mA,即功耗为 810mW。作为对设计的进一步改进,它包含一条基于 Maxim 公司 MAX1472 的发送电路,因此为 433 MHz ISM 频带创建了一种简单、小型、廉价、低功耗的收发器。可以用常规的音频输出放大器代替史密特触发器IC3,就能轻松地改装接收电路,用于恢复调幅音频或其它模拟信号。这样就可以重新调谐射频振荡器来覆盖感兴趣的频率范围。


时间:  2006-7-6 08:21
作者: qqqq


LON总线的USB2.0接口卡的研制


  
    LonWorks总线是由美国Echelon公司推出的一种现场总线技术,由于LonWorks控制网络的开放性、高速性、互操作性及其对现场环境的适应性,它已广泛应用于楼宇自动化、家庭自动化、保安系统、办公设备、交通运输、工业过程控制等行业。LON总线的现场节点间采用LonTalk协议。当工程师使用便携设备对现场节点进行检测或进行现场数据采集时,往往只能使用RS232串口。众所周知,RS232的传输速度最高只能达到9600kbps,这对实时性要求很高的现场数据采集来说远远不能满足要求。针对这一情况,笔者开发研制了LON总线的USB2.0接口卡。该卡提供的USB2.0接口使得数据的传输速度能提高到480Mbps.并且向下完全兼容流行的USBl.1协议。
1 USB2.0的主要特点
    USB协议的2.0版本于2000年4月推出,支持以下3种速度模式:低速模式(low speed)1.5Mb/s;全速模式(full speedl 12Mb/s;高速模式(high speed)480Mb/s。它支持现存的所有USB设备.可以把USB1.1设备插入USB1.1的PC机接口,并且在电气上兼容USB1.1的连接线。
    1.1 USB总线特点
    ◆数据传输速率高;
    ◆数据传输可靠;
    ◆同时挂接多个USB设备;
    ◆USB接口能为设备供电;
    ◆支持热插拔。
    1.2 USB的新特性
    USB还具有一些新的特性。如:实时性(可以实现和一个设备之间有效的实时通信)、动态性(可以实现接口间的动态切换)、联合性(不同的而又有相近特性的接口可以联台起来)和多能性(各个不同的接口可以使用不同的供电模式)。
2 LonWorks接口卡硬件设计
    2.1 LonWorks接口卡通信原理及硬件结构

    该接口卡的工作原理如下:接口卡由LON网接口模块和USB2.0接口模块组成,如图1所示,它采用双CPU技术,主CPU为USB2.0控制器CY7C68013内置的增强8051内核(该内核的运行速度是普通8051的5倍),主要作为协议的转换模块,用来完成USB2.0协议与LonTalk协议之间的转换,向上与便携PC机(或其它具有USB接口的便携设备)进行通信,向下与3150进行并口通信,辅CPU为TMPN3l50,主要起LON网接口的功能,作为通信协处理器使用,将从主CPU接收到的来自便携PC机(或其它具有USB接口的便携设备)的报文解析成Lontalk协议报文并通过Lonworks收发器传向LON网,或将从LON网上接收到的Lontalk协议报文转发给主CPU,再由主CPU传向便携PC机(或其它具有USB接口的便携设备)。51CPU与Neuron 3150采用并行方式通信。Neuron芯片的11个I/O有34种可选工作模式,其中包括并行I/O方式,该方式数据的最大传送速率可达3.3Mbps。并口工作方式在数据传送速度方面的优势,使得Neuron芯片与51CPU完成大数据量的传送成为可能。它们之间的数据传输是通过运用“虚写令牌传递机制”实现的,拥有令牌的一方拥有对数据总线的写控制权。
     2. 2 LonWOrks接口卡硬件电路设计
    该接口卡中,USB2.O控制器采用Cypress公司推出的USB2.0控制器CY7C68013,它是USB2.0的完整解决方案。该芯片包括带8.5KB片上RAM的高速8051单片机、4KB FIFO存储器以及通用可编程接口(GPIF)、串行接口引擎(SIE)和USB2.O收发器。它无需外加芯片即可完成高速USB传输,性价比较高。智能串行接口引擎(SIE)执行所有基本的USB功能,将嵌入式MCU解放出来用于实现专用的功能,井保证其持续高性能的传输速率。通用可编程接口(GPIF)允许它“无胶粘接”,即可与任何ASIC或DSP进行连接,并且还支持所有通用总线标准,包括ATA、UTOPIA、EPP和PCMCIA。它完全适用于USB2.0,并向下兼容USB1.1。
    3150芯片选用Toshiba公司生产的TMPN3150。3150片内存储器的地址范围是E800H~FFFH,包括2KB的SRAM和512B的EEPROM。3150可以外接存储器,如RAM、ROM、EEPROM或Flash,其地址范围是0000H~7FFH。根据一般应用的性能和成本要求,3l50的外部存储器采用Flash和RAM。Flash选用IS61C256AH-15N,RAM选用AT29C512。61C256和29C512的地址范围通过逻辑门电路根据Neuron芯片的地址线和控制线E来确定。51与3l50采用3150的并口通信方式,将Neuron芯片的IO0~IO7作为8根数据线与51CPu的PB(PB0-PB7)口相连-108作为片选信号线e§)与51CPU的PCO口相连.IO9作为数据读/写信号线(R/W)与51CPU的PC6口(写信号)相连。IO10作为握手信号线(HS)与51CPU的PC1口相连。

    为提高增加接口卡的可靠性及稳定性,本设计增加了一个锁存器,完成复位接口的功能。当3150芯片复位时,通过锁存器将复位信号传送给CY7C68013内置的8051处理器,8051接到复位信号自动复位,并马上清锁存器,其接线如图2所示。在并口通信中,8051与3150同步非常重要,要完成并口通信,8051首先要与3150达到同步且同步操作必须在3150复位时进行。8051只在初始化程序时才与3150进行同步操作。因此完成同步后,每当3l50由于误操作或错误运行而造成复位时,3150与8051将会失去同步,而8051无法检测到,从而造成并口通信失败。加入锁存器之后,8051就能检测到3150的复位信号并自动复位自己的程序,使得8051与3150再次达到同步。这将使适配器的可靠性和稳定性都得到加强。
    Neuron芯片与LON网络介质的接口采用一种LonWorks自由拓扑型收发器FTT-IOA。FTT-IOA是一种变压器耦台收发器,可提供一个与双绞线的无极性接口,且支持网络的自由拓扑结构。网络通信介质采用最常用的双绞线。
    2.3接口卡的抗干扰设计
    工业现场的环境一般来说较为恶劣.存在多种干扰。为保证通信的准确无误,延长硬件使用寿命,该适配器除采用通常的供电和接地抗干扰措施外,主要是要避免和消除来自网络介质的静电泄放(ESD)和电磁干扰(EMI),即主要针对FTT-IOA来设计抗干扰电路。
    对于ESD,在印刷电路板(PCB)设计中应提供一个导入大地的通道.还要不致引起整个PCB电压的升降。具体采用火花放电隙和箝位二极管来实现。对于EMI,因为FTT-IOA对垂直杂散电磁场最不敏感,而对水平杂散电磁场最敏感。所以在PCB设计中应使FTT-IOA尽量远离水平杂散电磁场区域。对于不可避免的杂散电磁场,应使其相对于FTT-IOA垂直分布。
3 LOnWorks接口卡软件设计
    3.1 Neuron芯片的编程语言——Neouron C
    Neuron芯片有一套专门的开发语言——Neuron C。Neuron C派生于ANSI C,并增加了对I/O、事件处理、报文传送和分市式数据对象的支持,是开发Neuron芯片应用程序的重要工具。其语法扩展包括软件定时器、网络变量、显式报文、多任务调度、EEPROM变量和附加功能等。其中,显示报文的使用为LON节点间的通信及互操作提供了基础。通过对不同节点分配网络地址,即可实现节点之间的数据传递。也就是说,LON接口卡中的3150通过构造和解析报文实现了与LON网用户节点的通信。
    3.2 CY7C6801 3的开发工具
    Cypress公司对CY7C680 1 3提供了较为完备的开发套件CY3681。它包括带128脚CY7C68013的硬件开发板、相应的控制面板(Control panel)和GPIF代码自动生成软件(GPIFT001)。对于内核8051的开发采用Keil开发工具。
    3.3 LonWorks接口卡的软件设计
    该接口卡的程序包含两大部分:一是存储在CY7C68013中的USB驱动程序和与3150的并口通信程序:二是存储在3150外部Flash中的并口通信程序以及LonTalk协议转换程序。USB驱动程序在开发套件CY368 1中已有支持用户,只要调用即可。而与31 50的并口通信程序则采用Keil C51语言编写,并通过usB口下载到CY7C68013的8051内核中。3150外部Flash中的并口通信程序以及LonTalk协议转换程序采用Neuron C语言编写,并采用LonWorks开发工具——LonBuilder中的Neuron C编译器对程序进行编译,生成ROM映像文件,最后下载到片外Flash中。
    在8051的并口通信程序中,需要模拟3150的并口通信从A方式。以下为并口通信程序中主要模块的C51程序,包括同步模块(sync—loop());握手模块(hndshkO);并口数据传送接收模块(pio read();pio write(void));令牌传递模块(pass token())。
void sync-loop(void)
{unsigned char rb;
do{RW=0;hndshk();PB=CMD RESYNC;CS=0;CS=I;hndshk();
PB=EOM;CS=0;CS=1;hndshk();PB=0xff;RW=I;CS=0;rb=PB;
CS=I;}while(rb!=CMD ACKSYNC);token=MASTER;}
void hndshk(void)
{while((hs=INTO)==1);}
void pio_read(void)
{unsigned char cmd;unsigned char i;
PB=0xff;hndshk();RW=1;cmd=PB;CS=0;CS=1;
if(cmd==CMD—XFER){hndshk();pio.1en=PB;CS=0;CS=1;}
else pio.1en=o=pass—token();}
void pio-write(void)
{unsigned char sd;
hndshk();RW=0;PB=CMD—XFER;CS=0;CS=1;hndshk0;PB=pio.
1en;CS=0;CS=1:
for(sd=0;sd
    根据硬件设计,将3150芯片的I/O定义为并行(parallel)I/O对象类型。定义并行I/O对象的Neuron C源代码为IO 0 parallel slave P BUS,其中,P BUS为所定义的I/O对象名称。Neuron将从并口得到的报文解析,再利用Neuron C的消息传送机制,将解析的消息传送给适配器下层的应用节点。读取数据的Neuron C函数为io_in(),其格式如下:io in(P BUS,addressl);
    其中,P_BUS为并口IO对象名称,addressl为接收并口数据的地址。发消息的Neuron C函数为msg send()。
    值得注意的是,Neuron芯片的应用CPU在执行该io_in()函数时会处于等待状态,也就是说等待数据时应用CPU不能处理其它I/O事件、定时器终止、网络变量更新或报文到达事件。如果2 0字符时间内尚没有接收到数据,则可能使Wat Chdog定时器产生超时错。在10MHz的输入时钟下,watchdog的超时时间是0.84s(该时间随输入时钟而改变)。通常情况下,调度程序(scheduler)会周期性地对watchdog定时器进行复位,但当程序处理一个较长的任务(task)如io in()时,则有可能终止watChdog定时器,这将导致整个节点的复位。为避免产生这种情况,同时使程序尽可能多地接收到达的数据,本节点程序在接收数据这个任务中周期性地调用函数watchdog update()。
4 结论
    本文所设计接口卡的主要目的是为带有USB接口的便携设备进行现场数据测量和现场监测提供高速、安全的通信接口。解决了令工程师头痛的便携设备与现场设备采用通用串口通信时传输速率低、经常掉线、连接不可靠的问题。
  

时间:  2006-7-6 08:21
作者: qqqq


用51内核网络单片机构成的远程监控系统


  
引 言
    在工业控制、信息家电等应用领域,存在大量的嵌入式设备,而这些设备很多只有串口、CAN总线等简单的网络接口,通信能力有限,有的甚至处于孤立运行状态。如何让这些以单片机为核心的嵌入式系统接入以太网,并通过网络对它们进行远程监控,是当前电子世界中的研究热点。TCP/IP在Intemet和大多数局域网中的成功应用,已经证明了其强大的功能。如果实现TCP/IP协议和嵌入式系统的结合,嵌入式系统联网问题就能得到有效解决。目前,嵌入式系统联网主要有如下几种方案:①EMIT,以PC或其它高档计算机为网关,将CAN、RS-232等设备接入重量级网络;②采用32位MCU+实时操作系统,高档MCU功能强大,可以实现复杂操作,但需要有RTOS支持,这种方案的成本和对开发人员的要求较高;③采用Scenix的8位MCU,加上虚拟软件包,实现多任务操作系统,运行TCP/IP协议栈。
    本文提出的基于网络单片机的嵌入式远程监控系统方案,可以实现串口、CAN总线等轻量级网络和以太网的互连。该方案具有体积小,性价比高的特点。
1 系统的组成
    基于网络单片机的嵌入式远程监控系统由主控制器、以太网接入模块、CAN网络接入模块、1-Wire网络、串口网络、存储器电路、实时时钟、电源模块和监控电路等功能模块组成。其原理框图如图1所示。

    (1)主控制器
    采用原Dallas公司的高性能51内核的网络单片机Ds80C400,内部集成了1个10/100M以太网控制器(MAC),3个通用全双工串口,1个CAN2.0B控制器,1个1.Wire控制器。MCU内部64KB的ROM程序中包含完整的TCP/P V4/6协议栈;可访问16MB存储空间;具有专门的低位地址口;4个数据指针;有2x/4x时钟加倍器,最高工作频率可达75MHz。
    (2)以太网接入模块
    利用集成的MAC,通过物理层器件PHY和以太网相连;MAC具有标准的媒体无关接口MII。设计中PHY采用具有自动协商功能的DP83846A,外接网络变压器20F001N。在工作过程中,可以通过MII管理总线设置工作模式。PHY和以太网控制器的连接如图2所示。

    MAC具有专门的接收发送缓冲区,可以通过MOVX进行访问;MAC还具有缓冲区控制单元BCU、命令/状态寄存器CSR、地址检测模块、电源管理单元等组成,主要由BCU负责以太网的收发活动,减少了CPU的参与,保证了以太网收发的效率。
     (3)CAN网络接入模块
    通过集成的CAN2.0B控制器,外接CAN收发器和CAN网络相连。设计中使用的是TI的SN65HVD230,它具有低成本、低功耗的特点。
    (4)1-Wire网络
    通过1一Wire总线控制器和1-Wire只读存储器DS2502-E48相连,给以太网控制器提供物理地址(MAC地址)。
    (5)串口网络
    通过单片机内部集成的全双工串口,可以和串口设备相连。为了和外部的RS-232电平相匹配,外接收发器MAX560CAI(也可以采用MAX232、MAX3233等),它具有4个输入、5个输出。单片机的串口0用来和开发机相连,串口2作为DTE,具有完整的流控制线,用来和串口设备相连。
    (6)电 源
    电路中各种器件的工作电压有3.3V、1.8V和5V等,采用MAX832、MAX682和MAXl792,分别提供3.3V、5V和1.8V电压。
    (7)监控电路
    选用MAX825T(门限电压3.08V)来监测主控制器的3.3V电源电压,当电压低于3.08V时,产生可靠复位。
    (8)实时时钟
    给系统提供准确的时间,便于了解系统状态,比如获取数据采集的时间等。
    (9)存储器单元
    Ds80C400具有专门的低位地址线P7口和专门的工程序存储器片选信号(CEO~CE7)和数据存储器片选信号(PCEO~PCE3),可寻址16M的程序空间和4M的数据空间。选用AM29F040B一70(Flash,2片)作为程序存储器,HM6285 12LFP-7(SRAM,2片)作为数据存储器。将SRAM和Flash分别接在面、面、面、面。内部64KB的R0M在系统启动时,对SFR进行初始化,从而SRAM、Flash分别映射到表i所示的空间。DS80C400内部和各种控制器相关的存储区及ROM的地址映射如表2。这些缓冲区在芯片内部,具有丰富的访问和操作指令,系统具有较高的集成度和吞吐量。




2  程序设计方法
    DS80C400中集成了64KB的ROM,具有工业标准的TCP/IP v4/v6协议栈、占先式的调度程序和网络引导程序、串口引导装载程序。网络引导程序和串口引导装载程序可以装载应用程序。在应用中通过外部引脚的配置,选择内部ROM的执行流程。系统启动时,ROM对单片机的串口0进行初始化,MCU通过串口0和开发主机通信,向F1ash写入程序。
    网络引导程序利用内部的TCP/IP协议栈和任务调度程序,可以通过以太网进行应用程序下载,实现程序在线更新。应用程序使用Java来开发,借助Dallas公司提供的软件开发工具包TINI SDK,大部分工作在开发主机上完成;可以使用免费的Java SDK工具或集成开发环境,提高编程效率。TINI SDK将DS80C400中的硬件部分虚拟为Java的类,从而将对实际硬件的操作,转变为对对象方法的调用,使用Java不要求开发者深入了解系统的硬件构成。TINI SDK提供了Java程序的运行环境,在主机上开发Java应用程序经过转换,即可在目标系统上运行。在运行环境的支持下,可以开发功能完善的嵌入式Web服务器,从而实现设备运行状态的远程监测和控制。DS80C400中具有1KB的扩展堆栈空间,具有支持高级语言开发的物理基础。TINI SDK正是在这个基础上,提供了Java运行环境,从而可以开发多线程应用程序。以开发串口设备到以太网服务器的数据流传输程序为例,其中包含主线程和发送、接收线程。程序结构如图3和图4所示。


3  结论
    该系统不仅可以拓展嵌入式设备的通信能力,将RS-232、CAN等轻量级网络和以太网相连,比如实现串口设备和以太网服务器的数据流传输,通过以太网服务器对串口设备进行监控;也可以取代原有控制设备,直接来控制现场设备,可利用该系统实现网络传感器、远程控制设备运行、远程数据采集等。系统还具有较大的扩展余地,可以根据应用需要进行扩展,如改变存储器的容量等。系统实现时,要根据具体需要选择合适容量和存取时间的存储器,采用合适的寻址模式;选取合适的接口电路并确保正确的连接和配置。另一方面,该芯片的集成功能较多,系统出现问题时,定位比较困难。但该方案不依赖PC或高档单片机,实现8位机直接接入Internet;所需外围器件少,系统成本低;开发周期较短,开发成本相对较低,具有实际应用价值。
时间:  2006-7-6 08:22
作者: qqqq


Jupiter处理器在嵌入式网关中的应用

  
    嵌入式网关是基于Internet技术的分布式设备网络平台的关键。它提供一个不同协议网络通信系统(如RS232接口、RS485接口、RF接口等)和以太网甚至是万维网的连接。嵌入式网关提供嵌入式Web服务器以及对各种接口的连接方法。嵌入式Web服务器也是专门为嵌入式设备而设计的一种小巧精悍的Web服务器,能够运行于嵌入式TCP/IP协议栈上,并能够提供几乎所有的传统Web服务器所能够提供的功能。
1  利用嵌入式网关的工厂管理方案
    工厂内部利用4 8 5线或者以太网来传递指令,并且可以采用2种方法对监控对象故障发送报警信息:用标准的MODEM命令发送GSM短消息或通过SMTP客户端发电子邮件。万维网与控制网的交互是借助于嵌入式网关进行的。当控制终端通过万维网向作为智能节点的现场设备发送控制信息时,它首先基于TCP/IP协议将信息发送给网关,再由网关根据现场设备总线采用相应的TCP/IP协议、RS485协议、RS232协议发送给相应的现场设备。反过来也是这样,嵌入式网关主要负责现场协议间的相互转化,通过嵌入式网关解决了不同协议标准的系统集成问题,如图1所示。

2  硬件子系统
    Jupiter是韩国ADC公司生产的32位高速双以太网处理器,是一款低成本、高性能、建立在以太网系统基础之上的高性能价格比的处理器。该芯片内含2个10/1 00Mb/s自适应以太网接口,广泛应用于集线器和路由器的设计,其最大优点类似于ARM内核的内建32位EISC处理器,支持uClinux操作系统,是当今市场EISC系列的一个代表产品。Jupiter(EISC结构)与CISC芯片相比具有优化嵌入式应用专长、代码优化,而芯片结构外平相当。Jupiter指令的易操作性使得硬件功能实现变得更简单。
    Jupiter处理器工作频率50MHz,采用16位单周期指令集,运算速度快;内含4K Cache、2个10/100Mb/s自适应双以太网控制器、最大支持640×480的彩色STNLCD控制器、2个串行的UART、2个DMA、串行SIO控制器、4个3 2位定时器及可编程I/O口、1个中断控制器、DRAM控制器、ROM/SRAM控制器;芯片系统管理器还包括内部3 2位总线的仲裁器和扩展存储器控制器;有8个3 2MB存储器空间可供用户扩展;处理器内建DHCP Client(含PPPoE、Proxy、DNS)及DHCP Server两项功能,可广泛应用于IP共享器、Sohu网关、工业现场Web服务器和浏览器、家庭网关、ADSL、工控网络控制器等。
    韩国ADC公司的Jupiter芯片与其他公司的比较如表1所示。  

3  软件结构
    传统的嵌入式开发所针对的是一些相对简单的应用。通常只有一个简单(或固定的几个)的应用运行,实现的任务简单,并且是针对具体应用的,所以不需要复杂的任务管理、调度机制。从工程设计够用就行的角度看,使用简单的方法烧写ROM,系统启动后直接执行该程序就可以了,根本不需要操作系统参与,开发人员能够了解所有应用启动,资源管理细节,并能够管理它们。 嵌入式网关根本作用是把嵌入式设备同现有的以太网络连接起来,但又在很大程度上保持原有嵌入式网络的各自功能,所以网关必须具有解析多种协议的能力。对于这种较为复杂的应用,传统嵌入式设计的方法已经无法满足要求,必须寻求操作系统以应付多任务和复杂任务的处理。
     uCliux是Linux操作系统的一种,是由Linux2.0内核发展来的,是专为没有MMU的微处理器设计的嵌入式Linux操作系统。因为大多数内核源代码都被重写,uClinux的内核要比原Linux2.0内核小的多,但保留了Linux 操作系统的主要优点:稳定性,优异的网络能力以及优秀的文件系统支持.uClinux中u表示Micr0,小的意思,C表示Cntrol,控制的意思,所以uClinux就是MicrO-C0ntroller-Linux,字面上的理解就是“针对微控制领域而设计的Linux系统”。
    uClinux可以通过定制使内棱小型化,还可以加上GUI(图形用户界面)和定制应用程序,并将其放在ROM、RAM、Flash或Disk On Chip中启动。由于嵌入式uClinux操作系统的内核定制高度灵活性,开发者可以很容易地对其进行按需配置,来满足实际应用需要。又由于uClinux是源代码公开的,因此开发人员只要了解内核原理就可以自己开发各类驱动程序。
    Jupiter芯片是没有内存管理单元(MMU)的处理器,而uClinux很好地解决了没有MMU内存管理问题,采用存储器的分页管理.系统在启动时把实际存储器进行分页。系统对于内存的访问是直接的(它对地址的访问不需要经过MMU,而是直接送到地址线上输出),所有程序中访问的地址都是实际的物理地址。操作系统对内存空间没有保护(这实际上是很多嵌入式系统的特点),各个进程实际上共享一个运行空间(没有独立的地址转换表)。
4  网关的uCIinux的开发
    Jupiter已向用户免费提供了uCinux操作系统源代码及其相关参考通信类源程序。开发板利用串行口与PC机串行口相连接,利用Cygwin仿真Linux操作系统环境,由ADC公司提供的EISCStudio软件包对用户程序进行在线Debg通信与调试。提供C编译器链接、反汇编窗口和存储器窗口、汇编和C源程序调试窗口,可单步、宏单步、断点设置、断点运行、连续运行、全速运行等,通过变量窗口可观察并可修改源程序的变量,软件支持模拟调试和在线调试两种方式。
    4.1 uClinux内核的生成
    首先安装并运行Cygwin(Windows环境下虚拟Linux系统的软件)
    进入X:\cygwin\home\administrator\uClinux-eiSC\uClInux-24.20(X为Cygwin安装所在分区)
    在Cygwin环境下执行
    (1)>mike mroper
    (2)>make Jupiter_c0nfig
    (3)>make 01dconfig
    (4)>make dep
    (5)>maKe
    执行完上述步骤后,生成了romimage.bln文件,其中包括seloader.bin(bootloader文件)、linux.bm(1inux内核文件)和rdgz.bin(压缩的ramdisk文件)
    4.2 uClinux内核的移植
    通过烧录器将seloader.bin下载到系统的EPROM芯片上后进行如下操作。
    ①把Jupiter的cOMl口连PC的COM0,启动超级终端,并把JUPiter网口0连到内部网上。启动后,应该在超级终端窗口中看到如下信息:
seloader is se3208 Boot Loader
CPyright(C)2002 ad chips
seloader comes With ABSOLUTELY NO WARRANTY:Read the
GNU GPL for details
AutObOOt abortedTvpe"help"to get a list of commands
seloader>
    ② 在PC上安装并运行两个服务程序DHCP和TFTPD。
DHCP(动态主机分配协议)是一个简化主机IP地址分配管理的TCP/IP 标准协议。用户可以利用DHCP服务器管理动态的IP地址分配及其它相关的环境配置工作(如DNS、WINS、Gateway的设置); tftpd是第三方的ftp工具,实现TFTP Internet 标准。该标准不要求用户名和密码。
    ③通过dhcp设置用户网关内部网络设备物理地址。Bootp sever configuration Option->add static address entry:Set the Hardware address by。"00:01:23:45:67:00"At the IP address first three octet must be same with server IPaddress and last octet can be anyone 设置完后自动启动,在状态栏中显示:1istening onport 67。
    ④ 设置TFTPD.设置tftPd->configure.>homedirectory:为:X:\tftpserver。在这目录里存放了 linux.bin,rdgz.bin文件。然后tfptd->start,在状态栏里显示: serveris running。
    ⑤ 在超级终端中执行bootp命令。命令:seloader>bootp。
    ⑥执行tftp下载linux.bin命令:seloader>tftp linuxbin kernel。
    ⑦执行tftp下载rdgz.bin命令:seloader>tftp rdgzbin ramdisk。
    ⑧执行boot启动uclinux 命令:seloader>boot。
Startingkernel……
inhead-se3208.S
Linux version2.4.20-uc0(administrator@ZENGYU-X14PHIPI)(gccversion egos-2.91
66 19990314(egcs-1.1.2 release)#1 Thu Apr 10 15:43:30 2003
Architecture:eisc-jupiter
.
.
.
Command:hosmamejupiter
Command:mount-t proc proc/proc
command:cat/etc/motd
Welcome to
For further information check:
htto://www.adc.co.kr/
Command:ifconfig eth0 192.168.0.3
Command:ifconfig ethl up
Command:ifconflg ethl 192.168.10.3
Command:roHte add default gw l92.168.0.2
Command:ifconfigl0 127.0.0.1
Command:route add-net l27.0.0.0 netmask 255.0.0.01o
Conwnand:#dhcped-P-a eth0&
Execution Finished,Exiting
Sash command shell(version.1.1.1),(pgid:14)
    即在网关上建立了uClinux环境。驱动程序和应用程序的开发即可在LinUX平台下进行。
5 总结
    本文介绍了一种基于Jupiter处理器的网关设备·实际上,这些设备还需要针对特定的网络接口进行二次开发。平台提供的是以太网和RS485、RS232端的接入,编译开放环境(编译器、调试器、函数库)。具体的二次开发包括针对特定的嵌入式设备网络接口器件开发驱动程序,针对具体的控制解决方案开发控制程序,实现具体的控制策略。
时间:  2006-7-6 08:22
作者: qqqq

Symbian 指出3G发展受困于应用
 

  【eNet硅谷动力消息】据国外媒体报道,全球智能手机操作系统巨头英国Symbian公司高层日前表示,虽然有了网络和手机,但应用和内容的缺乏正在遏制3G的普及。

  Symbian公司的首席财务官托马斯·钱伯斯日前对媒体表示,3G业务的启动比业界先前预计的要缓慢得多,而应用和内容的缺乏是最主要原因。

  钱伯斯说:“我们可能已经有了网络和手机,但人们依然在等待服务,不管是手机电视、多媒体内容或是互联网应用。3G的发展需要网络、手机和服务齐头并进。”

  无独有偶。近日,英国移动运营商“02”的首席执行官皮特·俄斯克因坦承,3G业务的推广是一场“灾难”。在宣布“02”公司一季度财报时,俄斯克因表示,3G业务只有到2007年才能够获得一批“正儿八经”的用户。  

  钱伯斯还表示,3G目前的窘境一部分源自业务发布之前的大肆造势。钱伯斯还举例子说,英国天空电视台天天在电视上宣传高清,但他打电话咨询,人家却说机顶盒还没有到货,还需要等待。3G服务目前也面临类似的尴尬局面。



时间:  2006-7-6 08:22
作者: qqqq

基于TruTherm技术的LM95231型传感器


 


1 引言

电脑系统及电子消费产品正越来越多地采用处理器及现场可编程阵列等亚微米晶片,由于这些晶片操作时不断发热。因此工程师必须为其加设散热装置.从而需要对温度进行测量。测量温度的读数越准确.系统设计工程师便越能确保系统性能得到充分的发挥,确保系统处理器得到更完善的保护,音频噪音也可进一步减少。为此,美国国家半导体公司推出了一系列采用TruTherm技术的全新温度传感器,LM95231是此系列传感器的首款产品。

TruTherm是一种热能管理技术,用于解决中央处理器或现场可编程阵列(FPGA)等亚微米晶片的内部温度传统测量方法不准确等问题,TruTherm技术可以准确测量内置二极体晶片的内部温度,将测量的准确度提高至前所未有的水平。

2 LM95231简介

LM95231是一款基于TruTherm技术的高精度双通道远程二极管温度传感器,具备与SMBus2.0兼容的2线接口,能感应三种温区,能感测芯片自身温度以及两个二极管连接的晶体管的温度。LM95231具有数字滤波和先进的输入级。两个二极管连接的晶体管可以作为一个“热二极管”应用于Intel和AMD处理器或简单的作为一个二极管连接到MMBT3904晶体管上。LM95231支持用户在90nm Pentium4处理器或2N3904上的选择性应用。LM9523l在远程测温模式下读数分辨率为11位,当采用数字滤波时,其读数分辨率增加到13位,在无符号模式下其温度感应值读数可以达到127℃。

图1

    2.1主要特性

●精确感应远程器件的晶元温度或二极管节点的温度:

●基于TruTherm技术的“热二极管”温度精确测量:

●具有模拟滤波的“热二极管”输入级:

●具有“热二极管”数字滤波:

●可用于90nm Pentium4处理器或2N3904:

●远程二极管故障检测:

●板级本地温度感应:

●不带数字滤波远程温度读数特性为0.125℃ LSB,温度感应值读数可以达到11位127C:

●带数字滤波远程温度读数特性为0.03125℃ LSB,温度感应值读数13位:

●本地温度指示为9位带符号数0.25℃;

●具有状态寄存器:

●可编程的转换速率可减小功耗:

●OSMBus2.0兼容接口,支持超时:

●8引脚MSOP封装。

2.2 LM95231电气特性

远程二极管测温精度:

TA=30~50~℃,TD=45℃~85℃,最大误差为0.75℃

TA=0~85℃,TD=25℃~140℃,最大误差为0.75℃

本地测温精度:

TA=0℃-85℃,最大误差为3.0℃

供电电压:3.0V~3.6V

供电电流:典型值2mA

3 典型应用

LM95231主要面向笔记本电脑、工作站以及服务器等处理器或计算机系统的热能管理,也可应用于电子测试装置和各种电子装置,图1给出了其典型应用及引脚接口设计。

图中,LM95231的SMBCLK和SMBDAT引脚接1.3kΩ的上拉电阻,在DI+和D1-以及D2+和D2-引脚接100pF的电容器,可以抑制噪声干扰,增强性能,电源输入要加旁路电容,尽可能的靠近电源引脚放置,VDD和GND间跨接10μF的电容将使得噪声抑制能力更强。




时间:  2006-7-6 08:23
作者: qqqq


基于USB接口和智能卡的PKI客户端设计
  

1 概 述
    计算机、网络、通信技术的迅猛发展,使人们的生活和生产方式发生了深刻变化。高科技在给人们的工作和生活带来方便、舒适的同时,也给人们增添了许多困扰。安全问题首当其冲。PKI(Public Key Infrastructure,公钥基础设施)被誉为现代信息社会安全的基石,也是电子商务与电子政务的关键技术。它能够为所有网络应用透明地提供加密和数字签名等密码服务所必需的密钥和证书管理功能,能够提供认证、访问控制、数据完整性、机密性和不可否认性等核心安全服务。通常PKI系统主要由认证机构、证书库、密钥备份及恢复系统、证书作废处理系统、PKI应用接口系统等部分组成。
    PKI的基础是基于非对称加密算法的,每个用户需要有一个能够确保其安全的场所,用以存放一些个人密钥(Private Key)、被其直接信任的CA(root CA)名字和证书,以及其他一些重要数据。智能卡(Smart Card)具有安全性高、保密性好的特点,为密钥的存储管理提供了良好的介质。智能卡具有嵌入卡片内部的CPU和存储器,同时还有一系列的安全机制来保证内部数据的安全。利用智能卡卡上CPU的计算能力,可以在卡上进行密钥对的生成和进行卡上的签名和验证运算;同时,利用智能卡出色的安全机制,能够对存储在其中的数据提供强有力的安全保证,这样在用户私钥的整个生命周期内,都处在智能卡的保护之下。另外,为了提高设备使用的灵活性和方便性,采用了USB接口技术,充分发挥了USB接口的即插即用、总线供电等优点,使开发的设备具有可携带性、灵活性和方便性,可以在各种场合中进行签名和验证。
2 PKl客户端设备的研制
    PKI客户端设备是一个全功能、可操作PKI系统的必要组成部分。主要提供以下一些功能[1.2]:
    ① 密钥的产生、更新和保护;
    ② 进行加解密或数字签名操作;
    ③ 证书管理,包括证书的申请、安装、存储及验证等;
    ④ 与主机、外围设备和系统软件有良好接口,方便用户开发相应的高层软件。
    2.1 客户端设备的硬件实现
    要实现本客户端设备,在硬件上必须具有智能卡芯片和USB芯片。作为智能卡芯片必须具备至少32 KB用户数据区(EEPROM)和32 KB程序存储区及1 KB的RAM;另外必须具备协处理器,能够进行至少1024位模幂运算,此外必须具备良好的物理安全性,以确保除了通过本系统外,没有其他途径可以获取智能卡中RAM、EE-PROM、ROM、寄存器的数据。而作为USB芯片,只需具备4个端口和满足USB协议1.1即可。当然,如果能够把这两种芯片的功能集成在一起成一颗芯片,会进一步提高安全性和进一步降低设备的尺寸。经过反复比较筛选,我们选用了Atmel公司的同时具备IC卡和USB接口功能的单一芯片AT90S6464C—USB。本芯片还具备硬件随机数发生器、硬件实现DES和3DES算法、内置RSA、ECC算法等。
    其硬件实现只需要加一个振荡电路即可。另外,为了指示USB的读写操作,加了一个指示灯。在读写操作时指示灯亮。
    2.2 客户端设备的软件设计
    PKI客户端系统的软件设计包括计算机主机的软件设计和硬件设备中的软件设计,具体的层次结构如图1所示。

    智能卡层的软件设计,主要完成COS(Chip Operation System)软件和加密算法;USB接口层的软件设计,主要完成主机和智能卡之间的信息传递;应用层的软件设计,主要完成底层的API函数和CSP软件,为用户提供开发接口支持。下面详细介绍各部分的设计。
    2.2.1 智能卡层软件设计
    主要包括两个模块:卡内操作系统COS和算法库。这是实现PKI客户端设备的关键部分。



    (1)卡内操作系统COS的设计
    智能卡内设计了一个小型的操作系统COS. COS的主要功能是:控制智能卡与外界信息进行交换,管理智能卡存储器中的文件系统,并在智能卡内完成各种命令的处理。COS的基本功能必须遵循ISO7816—4标准[3]。
    COS系统是由传输管理、文件管理、安全体系、命令解释四个功能模块组成的。
    ① 传输管理。按ISO7816—3标准,监督卡与终端之间的通信,保证数据正确地传输,防止与终端之间通信数据被非法窃取和篡改。
    ②文件管理。文件管理模块用来管理智能卡芯片内存储的各种数据,如证书、密钥和其他用户信息等。
    智能卡芯片内的文件分为MF、DF和EF三种。MF(主文件)是所有文件的根文件;DF文件是目录文件,根据DF可以区分存在于智能卡上的不同应用;EF是基本文件,用来存储具体的数据和记录,也是COS命令所要操作的具体对象。本设计中,EF分为四种类对象:密钥、证书、认证对象和数据对象。其中认证对象中保存识别用户身份的PIN码。EF的存放分为文件头和文件体两个部分。文件头中包含文件的标识符、访问权限和文件体的指针;而文件体中存放具体数据。
    对IC卡的操作,是通过命令的方式实现的。实际上是通过命令对IC卡内文件的操作。增加功能即是增加文件,所以,下面着重就文件系统进行说明。
    a.文件的空间结构
    每个文件在EEPROM中的存放格式为

    COS整个的文件空间划分如下:
    ◆当建立完.MF之后,COS自动将整个EEPROM空间都分配给它。MF的文件头长度为13字节十文件名长度(5~16字节)。
    ◆每个DF所占空间=DF文件头空间(等同于MF)+DF下所有的文件空间之和。
    ◆二进制结构文件的空间=文件头空间(13字节)+EF所申请的空间。
    ◆定长记录和循环定长记录文件的空间=文件头空间(13字节)+记录数×记录长度。   
    ◆变长记录结构文件的空间=文件头空间(13字节)+建立时申请的空间。
    ◆安全基本文件的空间一文件头空间(13字节)+密钥个数×(25字节)。
    b.KEY文件及其文件中的密钥
    每个DF或MF下有且只有一个KEY文件,在任何情况下密钥均无法读出。在KEY文件中可存放多个密钥,每个密钥为一条定长记录。记录中规定了其标识、版本、算法、属性及密钥本身等相关内容。
    在满足KEY文件的增加权限时,可用Write KEY命令增加一条记录。只有在满足某个密钥的使用权限时才可以使用该密钥,在满足某个密钥的修改权限时才可以修改该密钥。
    每种密钥具有其独立性,用于一种特定功能的密钥不可作为它用。本设计支持以下几种密钥:个人密码(PIN)、外部认证密钥、内部认证密钥、(Crypt密钥、PIN解锁密钥、PIN重装密钥、应用维护密钥等。
    ③ 安全体系。主要是对所传送的信息进行安全性的检查和处理,防止非法的窃听或侵入。安全体系包括3部分:安全状态、安全属性和安全机制。安全状态是指当前智能卡所处的一种系统状态,这种安全状态是在智能卡完成复位应答或完成某个命令后得到的;安全属性是智能卡执行特定的命令所需要的安全条件,只有满足了这个安全条件,命令才能执行;安全机制是指安全状态实现转移所采取的方法和手段,它是与安全状态和安全属性相联系的。
    ④ 命令解释。根据接收到的命令检查各项参数是否正确,执行相应的操作。
    IC卡通过USB接口与终端之间使用命令与应答的通信机制,即终端,把命令送到1C卡,IC卡接收并处理后发送响应给终端。这种机制包括两种应用协议数据单元(APDU)——命令应用数据单元与响应应用数据单元。
    命令应用数据单元包含两部分:固定的4字节命令头和长度可变的命令体,其内容为

    CLA字节代表命令的类型。
    INS字节表示命令编码,P1和P2为具体命令参数。Lc字节表示数据的长度,只有一个字节表示,取值范围为1~115。如果Lc为0,表示没有数据域。
    Le表示期望卡返回的数据长度,由单字节表示,取值范围为1~115。
    响应应用数据单元也包括两部分:可能存在的响应数据体(应答体)和两个状态字节(应答尾部),其内容为

    基于保密的原因,具体的命令代码和状态字节(SWl、SW2)的编码略。
    (2)算法库的实现
    算法库是一个根据不同需要可扩展的函数库。目前,算法库里有:
    ◆对称加密算法——DES算法、3DES算法、RC2、RC4算法;
    ◆摘要(散列值)算法——SHA一1算法、MD5算法;
    ◆非对称加密算法——RSA算法、DSA算法、ECC算法。
    以上算法全部在设备内实现。
    2.2.2 USB接口层的软件设计
    USB接口层软件主要完成计算机主机和客户端设备之间的数据传输,完成主机与IC卡之间交互的命令及响应。一方面将主机来的USB信息包转换成符合IS07816--4标准的智能卡可识别的命令,另一方面,根据IS07816--4标准,将智能卡的响应数据转化成USB数据包,上传给主机。
    在USB的初始化中,完成终端设备USB的自举过程。考虑到设备与计算机之间的数据交换具有数量少、传输速度不要求很高的特点,因而将其配置为HID类设备,实现在WIN2000、Win Me等环境下免安装驱动程序的特点。本设备使用了3个端点:端点0用于控制信息的传输,端点1用于接收主机发来的数据,端点3用于向主机发送数据。
    USB的消息处理过程是:USB发出中断请求,单片机响应中断,首先查看各中断寄存器,找出产生中断的事件,然后处理。程序的设计主要集中在中断处理服务程序上,如图2所示。

上述2.2.1节和2.2.2节软件设计为PKI终端设备内软件设计,整个软件采用了Keil C51语言编写。与汇编相比,C语言在功能、结构、可读性、可维护性上有明显的优势。Keil C51提供了丰富的库函数和功能强大的集成开发调试工具,全Windows界面。最后生成标准的HEX文件,以直接写入程序存储器,如Flash中。
    2.2.3应用层软件设计
    应用层(计算机主机)软件开发主要是提供底层API库函数,在此基础上实现CSP[4](Cryptographic Service Provider,加密服务提供者)软件设计,为用户提供符合微软加密服务要求的中间件。应用层软件结构如图3所示。

    应用程序不能直接与CSP进行通信。取而代之,应用程序通过调用由Advapi32.d11和Crypt32.dll模块所提供的一些CryptoAPI接口函数来与CSP进行通信,从而完成相应的功能。操作系统过滤这些函数调用,并把它们通过C2ryptoSPI(系统编程接口)传递给相应的CSP函数。
    整个软件的实现过程为:首先,根据智能卡COS命令,完成底层API库函数CLlB。通过对库函数进行封装生成PKIKEY类,即PKIKEY.CPP,PKIKEY.H,进而生成CSP所需的DLL文件,最后通过向微软申请认证,通过后微软将进行签名。
    本设计中,可以向客户提供底层API函数和CSP两种中间件接口,以支持客户的二次开发。
    在应用软件方面,我们设计了客户端管理工具软件和管理端管理工具软件。
    客端管理工具实现的功能:证书的自动注册注销,修改用户密码等功能。
    管理端管理工具实现的功能:除具有客户端管理工具的功能外,还提供了格式化设备、清空设备、解锁用户密码、修改管理员密码等功能。
    2.3 主要技术指标
    操作系统:Windows 98/Me/2000/XP,Mac OS 8/9,Linux.
    证书及标准:PKCS#1l,MS CAPI,PC/SC,X.509 v3证书存储。
    加密算法:MD5,SHA - l,DES/3DES,RC2,RC4,RSA,DSA,ECC等。
    接口类型:USB V1.1 A型。
    功耗:
3 总 结
    本文提出的PKI客户端设备已经小批量生产。它是整个PKI体系的重要组成部分,可以实现密钥安全保管、证书管理、签名、验证签名、加/解密和身份认证等功能,具有高稳定性、高安全性、高可靠性、安装和携带方便、操作简便、运算速度快等特点;可广泛应用于要求个人身份认证、识别、数据加密、安全存储等领域,如电子商务、电子政务等,所提供的底层库函数和CSP软件以中间件方式极大地方便了客户的二次开发。总之,本设计思想先进,代表了当前该领域的发展方向,应用前景广泛。
时间:  2006-7-6 08:23
作者: qqqq


基于DSP的雷达视频信号数字采集与检测


引 言
    反应速度更快、精度更高、目标的自动录取、使操作员能够同时处理多批目标,是现代雷达所追求的技术指标。雷达视频信号的数字采集和检测是达成这样目标的前提条件。众所周知,雷达对目标位置的测量,主要是依据目标回波相对于发射电波的延迟时间以及雷达天线的方向来决定的。电波的传播速度极快,传播l海里的时间大约只有12.35μs,雷达发射电波的间隔一般在ms数量级。在此间隔时间内,理论上最多会有成百上千个目标的回波。要在如此短的时间之内,对这么多的目标回波信号进行数字采集和处理,快速的采集器件及高速计算机处理器必不可少。DSP(Digital Signal Processor)芯片,即数字信号处理器,就是这样一种特别适用于进行这种实时信号处理的微处理器。本文以某雷达的技术参数为参考,用TMS320VC5402作为信号处理器,以AD9223为A/D变换器,采用双端口存储芯片IDT7203作数据暂存存储器,介绍一种实现雷达回波信号的数字采集和处理方法。
1 主要器件特性
    TMS320VC54x是TI公司开发的低功耗、高性能数字信号处理芯片,主要应用于无线通信系统及雷达信号处理系统等。本文所采用的TMS320VC5402芯片是此系列的一个典型产品。该芯片的主要特点有:速度快,指令周期10 ns,运算能力为100 MIPS;强大的寻址能力,最大可寻址外部存储器1 M×16位,内置16 K×16位的RAM,4K×16位的ROM;40位的算术逻辑运算单元(ALU),包括2个独立的40位累加器和1个40位的桶形移位寄存器;1个17位×17位的硬件乘法器和1个40位的专用加法器,乘法器/加法器单元可在一个流水线状态周期内完成一次乘法累加运算(MA)。
    AD9223是一种采用高速CMOS处理和新颖的4级流水线结构的高性能、低噪声、单电源、12位模/数变换器。适用于航海、通信、雷达、医疗等领域的数据采集系统。其主要特点有:高速度、高分辨力,采样时钟频率可达3 Msps,分辨力为12位;单时钟输入,采用单时钟输入控制内部所有的转换周期;模拟信号输入灵活,真正的差分输入结构允许模拟信号以单端或差分两种分式输入;灵活的参考电压,适应不同应用的精度及温度漂移的要求,参考电压可选择内部或外部(1 V或2.5 V);采用4级流水线结构及宽带取样保持放大器(SHA),使器件在每个时钟周期都可捕获输入的采样信号,数据的输出延时为3个时钟周期。
    IDT7203是一种双端口先进先出(FIFO)9位存储缓冲器,存储容量为2 KB。输入和输出具有各自的地址指针,每一个读或写操作,对应的输出及输入地址指针自动加1。复位信号可将两个地址指针全部清除。对外提供数据区空(empty)、半满(half-full)及满(full)信号,用来指示器件的状态。最快读写速度为12 ns。
2 二级门限判决的工作原理
    雷达的视频信号中始终包含有目标信号和噪声信号,即x(t)=s(t)+n(t)。雷达信号处理的根本目的就是,从接收到的视频信号x(t)中提取出有用的目标信号s(t),滤除无用的杂波或干扰信号n(t)。在进行雷达信号处理时,预先并不知道目标在一定条件下出现的概率,也很难确定一次漏警所造成的损失,故常采用奈曼一皮尔逊准则,即在允许一定虚警概率的条件下,使漏警概率达到最小。二级门限判决方法,是一种有效而实用的雷达信号处理方法。
    2.1 二级门限判决原理
    由最佳检测理论知道,对于非相参高频脉冲串,采用检波后的积累(视频积累)来改善雷达检测性能是一种最佳方案,其原理如图1所示。这种方案若采用模拟电路处理,比较复杂,实现起来也相当困难;若采用数字化处理,直观的办法是将雷达接收机的视频信号按距离(时间)和幅度进行量化处理变为数字信号,然后存储N个重复周期。对每一个重复周期按距离单元对每一个单元的信号幅度值设定一个门限值(r0),称为第一门限。对每一个重复周期所量化的所有距离单元的视频信号值x(ti),与其相对应的门限值roi进行幅度值的比较。如果视频信号值超出它的门限值,认为是“可能目标”信号;否则,认为无信号。这个过程称为第一级门限判决。对应于每一个重复周期内每一个距离单元所建立的第一门限值(roi)是各不相同的,而且,每一个重复周期所建立的也各不相同。这里将每一个重复周期所建立的第一门限值的集合称为杂波均值估值表或叫杂波图。对于“可能目标”信号,并不能肯定它一定是目标信号。因为有时突发的杂乱干扰信号或随机噪声信号,各次扫描的取样是不相关的。它们可能会偶然一二次超过第一门限值,但连续多次超过第一门限值的可能性很小;而对于目标来说,相邻各次扫描均应有回波信号,在N个取样中连续超过第一门限值的概率较大。因此,需要按距离单元将超过各自第一门限值的量化脉冲进行计数,如果在N个重复周期中有K个以上的量化脉冲超过各自的第一门限值,则判决为有信号。这个过程称为第二级门限判决(K/N判决),而K/N称为第二门限值,工作原王单如图2所示

  2.2 杂波均值估值表的建立
    杂波均值估值表(杂波图),是根据每个重复周期雷达视频信号的量化值所建立起来的每个距离单元的杂波信号的平均值表。建立的方法为邻近单元平均估值法。具体是,设某个距离单元ti上的视频信号为x(ti),以ti单元为中心,前后共取n个参考距离单元,对这n个参考单元的视频信号数值求平均值

    作为这个距离单元的杂波平均估值。也叫作这个距离单元的第一门限值roi值的大小由雷达探测区域的杂波环境所决定。n值愈小,由于参考单元太少,会引起杂波平均估值的起伏变化愈大,将引起虚警概率的增加;而n值愈大时,杂波的平均估值起伏愈小,即第一门限值愈平稳,可得到恒虚警效果,但会使得资源开销增大,增加实现的难度。对于气象和海浪杂波来说,它们通常是连接成片的区域,比较适合采用邻近单元平均估值法建立杂波均值表。这里n取16。由于以52.5 m为一个距离单元,相当于对检测点前后各420 m的距离范围取杂波的平均估值。
    2.3 第二级门限值K/N的计算
    为保证符合奈曼一皮尔逊准则,N值的选择应取决于天线波束扫过目标的时间内目标的回波脉冲数,是由雷达的工作参数决定的。对于某船用雷达来说,其部分工作参数为:重复频率1 200 Hz,触发脉冲宽度O.7μs,天线转速20 r/min,天线波束宽度O.7°,作用距离40海里。N的取值为N=O.7×1 200/(20×6)=7。根据经验,K的取值为1.5√N。这里K=4,即K/N=4/7。
3 硬件电路设计
    硬件电路工作原理如图3所示。根据雷达的主要技术参数,得知雷达的距离分辨力为150×0.7=105 m,在不降低原雷达性能的前提下,这里选择2.857 142 857 MHz的采样频率(相当于以52.5 m作为一个采样距离单元)。存储器以同样的速率采集数据(大约每52.5 m采集一个雷达视频信号的数值)。这样的话,40海里的最大测距量程,对应于雷达的每一次发射,电路应当采集1 412个距离单元的视频信号量化值(这里取1500个距离单元),因此,双端口RAM容量选用2 KB即可。由于访问速度要快,选用了IDT7203。对于信号的量化位数,即A/D转换位数的选择,考虑到量化噪声的影响,所取的位数愈多影响愈小。为照顾DSP的处理能力以及A/D转换器件的转换速度,采用12位。

    A/D转换器AD9223的设置:采用片内2.5 V作为参考电压;VINB接参考电压值,这样VINA的输入值最大可到5 V,最小为O V;运算放大器U3提供的视频信号应当符合这个要求。
    雷达的触发脉冲作为系统工作的同步信号,从触发脉冲的下降沿开始,为A/D转换器提供2.857 142 857 MHz的采样同步脉冲信号,A/D转换器产生的12位精度的视频信号数值以同样的速率被打入到二片双端口RAM(IDT7203)中。当打入的数据达到1 504个时(由于A/D转换器的数据输出对视频输入信号有3个时钟周期的延迟,因此,这里采用采集1 504个距离单元的数据),对DSP产生一个中断信号。这些信号是由大规模可编程逻辑器件(Lattice M4A5-128/64)根据雷达触发脉冲、晶体振荡器以及DSP的I/O操作所产生的地址信号和读写信号共同作用而产生的。它们的时序关系如图4所示。

    DSP对中断信号响应后,执行数据接收的中断服务程序。该程序以极快的速度把双端口RAM中的数据提取到自己的内部存储器中,这时DSP再运行滤波和目标提取程序,最后将完全处理好的数据通过串行接口传送出去。
    双端口RAM的读信号RAMRD在执行读OFF00H地址的指令时,由DSP产生的地址信号(OFF00H)、WR信号以及10STRB信号的共同作用而产生。
4 软件设计
    软件的主要任务是处理已经被数字化了的视频信号。为了实现快速处理,程序采用汇编语言编写,保证在一个重复周期内将数据处理完毕。程序运行的区域安排在TMS320VC5402片内RAM的0000H~03FFH的1 KB内存中。数据输入区在0400H~09FFH,占1.5 KB内存。杂波均值估值表(杂波图)位于OA00H~OFFFH,占1.5 KB内存。输出数据区(处理结果)位于1000H~15FFH,占1.5 KB内存。从1600H开始至3FFFH的10.5 KB存储区,被平均分为7个数据区,作为7个重复周期的数据积累区。对于存储雷达视频信号量化数据的每一个存储区,其每一个地址单元的地址代表一个距离单元,其内存储的数据代表该距离单元处视频信号的幅度量化值。程序响应中断后,工作过程为:数据的采集→建立杂波均值估值表(杂波图)→第一门限判决→第二门限判决→数据输出。方位信号的采集以及处理以后数据的输出,此处不作讨论。
    4.1数据的采集
    由于TMS320VC5402运行的速度极快,单指令周期为10 ns,而双端口RAM的最快访问速度为12 ns。为了保证数据的可靠读取,把I/0操作设置为延迟2个执行周期。DSP对于外部中断0(INTO)响应后进人中断服务,把双端口RAM中的数据读到DSP的内置存储器以0408H起始的1500个单元中。程序初始化时,将0400H起始的8个单元设置为0。这完全是为了计算杂波均值估值表(杂波图)时的编程方便,其程序如下:
    GETDATA:STM #0408H,AR6     ;初始地址
         RPT#(1500—1)       ;重复次数
         PORTR OFF00H,*AR6+ ;读数据
         RETE                ;中断返回
    4.2 建立杂波均值估值表
    根据3.2杂波均值估值表的建立方法,对每一个距离单元,前取8个,后取7个,共16个作参考单元,计算平均值,作该单元的杂波均值估值。总共计算1500个距离单元的杂波均值估值,放置于OA00H~OFFFH的存储区域。具体实现时,须先计算出第一个单元的杂波平均值估值,其后的逐个计算。程序代码如下:
    STM #O4ooH,AR5         ;读入数据的首址
    STM #OA00H,AR7         ;均值估值首址
    RPTZ A,(16—1)         ;循环16次
    ADD*AR5+,A             ;求和
    STL A,一4,*AR7+       ;除以16,放入估值表
    STM #0400H,AR6         ;计算余下的1 499个
    STM#(1500—2),BRC
    RPTB ZBEND一1
    SUB*AR6+,A
    ADD*AR5+,A
    STL A,一4,*AR7+
    ZBEND:RET
    4.3 第一级门限判决
    将读入的雷达视频信号值以距离单元为单位,逐个与杂波均值估值表中对应距离单元的数值作比较:如果某单元雷达视频信号的数值较其对应的杂波均值估值大,认为此单元为“可能目标”的回波信号,将它放置到数据积累区中(1600H起始)相应的单元,同时也放置到输出数据块中(1000H起始);否则,这两个单元都被清零。程序中用AR3作数据积累区的地址指针,其初值为1600H,由初始化程序设置。程序代码如下:
    STM #0408H,AR7       ;输入数据区首址
    STM #oA00H,AR6       ;均值估值表首址
    STM #1000H,AR5       ;输出数据区首址
    STM#(1500—1),BRC:  ;距离单元总数
    RPTB PJlE一1          ;重复
    LD*AR6+,A            ;取杂波均值估值
    STM*AR7,T            ;取输入的数据
    ST #0,*AR3           ;积累区先清零
    ST #O,*AR5           ;输出区先清零
    SUB*AR7+,A           ;比较
    STRCD*AR3+,ALT       ;数据大,分别放置
    STRCD*AR5+,ALT       ;到积累区和输出区
    PJlE:LD AR3,B       ;调整积累区指针
    ADD #100H,B
    AND #OFF00H,B
    SUB #4000H,B
    BC PJlEND,BLT
    SUB #2A00H,B
    PJIEND:ADD #4000H,B
    STML B,AR3          ;调整积累区指针结束
    RET
    4.4 第二级门限判决
    第二门限判决就是在第一门限判决的基础上,滤除那些偶然超过第一门限,但是又是在多次扫描中,孤立的、互不相关的杂波噪声信号,就是对那些“可能目标”的信号作进一步的处理,进一步降低检测目标的虚警概率。根据2.3节的讨论,第二门限值(K/N)的取值为4/7。由于在第一门限判决处理时已经建立了7次扫描的累积数据和初步的输出数据,它们分别位于数据累积区和数据输出区。因此,在程序的具体实现时,就是根据最新的积累数据,对量程范围内的每个距离单元逐个进行K/N判决,符合K/N判决准则的,输出数据区的数值不变,而不符合准则的将该距离单元所在数据输出区的数值清零。由于程序代码较长,用图5所示流程表示。

结 语
    本文介绍的基于DSP的雷达视频信号的数字采集和处理系统的设计,作为一种雷达视频信号处理的方法,适用于多种雷达的信号处理,对于不同型号的雷达,由于其用途的不同,其技术参数也不相同。只要选择合适的工作参数,此设计对其他种类雷达的视频信号仍然适用。

时间:  2006-7-6 08:23
作者: qqqq


FLOWLINE超声波液位计顶部故障灯常亮解决
   FLOWLINE MINE 系列超声波液位计是一种性价比极高的液位计产品。有体积小巧,编程简单,精度高,盲区小,探头防腐蚀等技术优点,而且价格在进口超声波产品中有绝对的优势。笔者在推广Flowline超声波液位计的应用中,也取得客户对该产品的广泛认同与好评。但在实际应用情况中,也有少部分客户反映使用不正常,超声波顶部蓝色故障灯常亮的事故,经笔者认真沟通安装及使用情况后,亲临不同客户现场查看多次。最终给予了很好的,而且不增加客户成本的解决。
    要解决故障灯常亮的原因,我们必须知道FLOWLINE MINE 系列超声波液位计的设计。该系列超声波液位计为0度发射角度,是全球发射角度最小的。发射角度小的优点是能很好的聚集能量,对泡沫,蒸汽,轻度粉尘等场合有更好的穿透性,可以直接应用在狭小的场合,从而提高产品的适用性与精度。当没有收到回波及内部软件出错时,顶部蓝色指示灯处于常亮状态。
    结合我所遇到的实际情况,出现故障指示灯常亮的情况主要有以下两种,特在这里提出解决方案。希望能帮到使用该系列超声波液位计的各位,也希望能给将要选用超声波液位计的各位提供参考。
1.在超声波持续零液位时,顶部灯亮,输出电流为22MA。而且隔一段时间后恢复液位时,故障不能自动解除,需关电重启后正常,给客户带来不必要的麻烦甚至损失(例如晚上无人值守时)。
    出现这种故障是安装附件的选择问题。由于FLOWLINE MINE 系列超声波液位计是全球首创0度发射,优点上面也介绍了。另外它的另外一个与众不同的特点是,超声波的发射除了平面头外,在螺纹这里也是有发射的。如果持续的零位,在加上安装件选用金属支架。超声波液位计就会识别到支架部分的信号强度大于平面头接收的信号强度。而金属支架部分与发射波之间处于盲区距离。所以超声波处于保护状态,故障灯常亮,输出22MA。 解决的办法就是选用非金属支架。因为选用非金属支架后,螺纹处的发射波能穿透出去,而零点液位的回波信号绝对会大于螺纹处的回波信号。经过上述解释与实际改进后,故障全部解决,供需双方皆大欢喜。从而进一步加强了合作。
2.经调试与重新编程后,顶部故障灯常亮,输出电流为22MA。出现这种故障情况,经实际查证,还是在编程与调试过程中,未能按照说明书要求。造成的程序紊乱而自保状态。客户在调试编程超声波液位计时,未能等到指示灯正常闪动,或则编程方法步骤根本不对,处于不稳定的编程调试。如果多次反复未依要求编程调试,超声波液位计将拒绝工作而自保。出现这种故障的解决方法是先将超声波液位计按要求复位,在进行重新编程。如果在未复位的情况下多次再编程,会出现以上故障。
    综合目前笔者所遇到的故障情况大致是以上俩种,都进行了很好的解决。如果有客户(包括不是从珠海赛思特公司及我吴红章本人进货的),都可以来电沟通新的情况,共同解决。相信能让Flowline超声波液位计这一性价比极高的产品能得到正常的发挥与应用,真正解决客户在液位全自动检测与控制的要求,又最大限度地节约成本。

时间:  2006-7-6 08:24
作者: qqqq

浅析比较 CCD传感器与CMOS传感器的差异
  噪点:由于CMOS每个感光二极管都需搭配一个放大器,如果以百万像素计,那么就需要百万个以上的放大器,而放大器属于模拟电路,很难让每个放大器所得到的结果保持一致,因此与只有一个放大器放在芯片边缘的CCD传感器相比,CMOS传感器的噪点就会增加很多,影响图像品质。
   
  耗电量:CMOS传感器的图像采集方式为主动式,感光二极管所产生的电荷会直接由旁边的电晶体做放大输出;而CCD传感器为被动式采集,必须外加电压让每个像素中的电荷移动至传输通道。而这外加电压通常需要12~18V,因此CCD还必须有更精密的电源线路设计和耐压强度,高驱动电压使CCD的耗电量远高于CMOS。CMOS的耗电量仅为CCD的1/8到1/10。
   
  成本:由于CMOS传感器采用一般半导体电路最常用的CMOS工艺,可以轻易地将周边电路(如AGC、CDS、Timinggenerator或DSP等)集成到传感器芯片中,因此可以节省外围芯片的成本;而CCD采用电荷传递的方式传送数据,只要其中有一个像素不能运行,就会导致一整排的数据不能传送,因此控制CCD传感器的成品率比CMOS传感器困难许多,即使有经验的厂商也很难在产品问世的半年内突破50%的水平,因此,CCD传感器的制造成本会高于CMOS传感器。
   
  CCD与CMOS传感器的前景

  CCD在影像品质等方面均优于CMOS,而CMOS则具有低成本、低功耗、以及高整合度的特点。不过,随着CCD与CMOS传感器技术的进步,两者的差异将逐渐减小,新一代的CCD传感器一直在功耗上作改进,而CMOS传感器则在改善分辨率与灵敏度方面的不足。相信不断改进的CCD与CMOS传感器将为我们带来更加美好的数码影像世界。




时间:  2006-7-6 08:24
作者: qqqq

搞实验时如何解决好所需温度
    601-B数显超级恒温油槽 数显超级恒温油浴详细说明

    601—B超级恒温循环油槽(浴),是在250B恒温油槽的基础上改进的产品,广泛应用于蒸馏、干燥、浓缩以及浸渍化学药品或生物制品。是各大中专院校、环保、科研、卫生、防疫、石油、冶金、化工、医疗等单位实验室化验人员必备的理想工具。


一 简介

(1) 增加了循环泵,提高工作温度的一致性和精度
(2) 智能化的控温表,使仪器加热和恒温更加稳定
(3) 有内循环和外循环二种方式,用户可以根据自己的需要在采购时,提出要求。

二 性能

(1) 、电源220V±10V 50HZ
(2)、控温范围室温—300℃
(3)、控温精度:±0.1
(4)、带循环泵 油槽内可装油20升
(5)、有内循环,和外循环二种方式
(6)、循环泵的流量≥8升/分 循环进出口外径10mm 内径8mm
(7)、工作室尺寸400×300×180 特殊规格可以定做

三 使用方法

    因本产品为电热式,所以用电功率较大,使用前首先要查看电源的负载受力,以防过载,如使用电源符合使用条件,再接通后面接线端(接地线一定要良好)

    首先将油注入油槽,至油位线,再打开电源开关至ON档,此时控制显示油温度,如需设定槽内温度,将仪器控制面板温控仪,调至温控仪加阀器至你所需要温度。再将加热一关至ON档,此时开始加温,指示灯为绿色,当温度达到设定值时,指示灯为红色,此时油槽里面温度就是你所需要温度,因油槽体积较大,油槽温度有一定温冲,可能高于设定温度,等恒温几分钟后油槽温度就是你所设定温度,使用完该仪器后请将加阀器调至零端,以备后用,同时关闭电源开关和电闸。

四 注意事项

(1)、为了确保安全,使用时请接地线
(2)、严禁不加油、干烧
(3)、不工作时,应切断电源,以免发生意外

  
 [关键词]:实验 温度 电源  




时间:  2006-7-6 08:24
作者: qqqq

一种谐波和无功电流检测的新算法
摘要:分析了并联有源滤波器的基本工作原理,提出了一种谐波和无功电流检测的新算法,对此作了详细的理论分析。此检测算法不需要锁相环,能准确检测出负载电流中谐波及无功分量。对这种检测算法用MATLAB进行了仿真,并在以TMS320F2407DSP为控制核心的实验装置中对这种检测算法作了具体实现,仿真结果和实验结果均证实了这种检测方法的可行性。

    关键词:有源滤波器;谐波;无功电流

引言

    随着电力电子技术的发展,电力电子装置的应用越来越广,但是其产生的谐波对电网的污染,以及电磁干扰等,也带来了危害。另一方面,现代用电设备对电能质量更加敏感,对供电质量提出了更高的要求。而有源滤波器可以消除谐波,提高电力系统运行的稳定性,其研究和应用越来越受到人们的重视。

    有源滤波器消除谐波的基本原理主要有两种:一种是向电网注入与负载的无功和谐波电流大小相等、方向相反的电流来补偿无功和抑制谐波,称为并联型有源滤波器;另一种是向串联变压器副边注入基波补偿电流,使串联变压器对电网基波电流呈低阻抗,对谐波电流呈高阻抗[1],从而抑制谐波,这种方法称为串联型有源滤波器。另外,还有串并联型、混合型等。但是,无论采用哪一种,首先都必须将谐波和无功电流的值检测出来。目前比较成熟的电流检测方法主要有基于瞬时无功功率理论[2]的pq检测法[3]和ipiq检测法[4]。但这两种方法须进行两次坐标变换,计算量较大,其中ipiq检测法需要采用锁相环,而锁相环存在实现复杂,检测精确不高的问题。

    本文研究了一种谐波和无功电流检测的新算法,并给出仿真结果和实验结果。
1 谐波和无功电流检测方法的原理

    图1是并联型有源滤波器的系统框图,其基本原理是:通过检测环节计算出负载的谐波和无功电流,然后控制逆变电路输出,向电网注入与负载的无功和谐波电流大小相等、方向相反的补偿电流,从而使电网电流中只含有基波有功分量。这样,该装置既可以实现对谐波的滤波作用,又可以提供电力系统所需的无功电流,便可大大提高电能利用率,提高经济效益。本文提出一种新的谐波和无功电流检测算法,图2为负载谐波和无功电流的检测原理图,图中虚线框内为直流侧电压控制部分。如图2所示,首先检测出实际负载电流和电网电压,对这6个量进行计算即可得到所需的三相负载谐波和无功电流。

    为简单起见,假定电网电压三相对称、无畸变,则

负载电流iA,iB,iC可以表示为基波与谐波之和,即

考虑到负载不对称,将电流分为正序、负序、零序,则基波电流为

iA1=i1+sin(ωt-φ)+i1-sin(ωt+θ1-)+i10

iB1=i1+sin(ωt-φ-2π/3)+

i1-sin(ωt+θ1-+2π/3)+i10

iC1=i1+sin(ωt-φ+2π/3)+

i1-sin(ωt+θ1--2π/3)+i10    (3)

式中:i1+,i1-,i10为基波正序、负序、零序分量的幅值;
φ为功率因数角;

θ1-为基波负序的初始相位。

谐波电流也分为正序、负序、零序,k次谐波电流可表示为

式中:ik+,ik-,ik0为k次谐波正序、负序、零序分量的幅值;

θk+及θk-为谐波正序、负序的初始相位。

三相有功功率的瞬时值p可由式(5)得到。

式(5)包含直流和一系列谐波分量。谐波频率最低可达100Hz,经过低通滤波,功率中的谐波分量可以滤去,只剩下稳态值p(3UMi1+cosφ/2),其中i1+cosφ就是基波正序电流有功分量的幅值。对于A相,基波正序电流有功分量iA1有=i1+cosφsinωt。由式(6)可以得到

同理可以得到其他两相基波正序电流的有

功分量iB1有=i1+cosφsin(ωt-2π/3),iC1有=i1+cosφsin(ωt+2π/3)。

    从实际负载电流iA,iB,iC中减去以上得到的基波正序电流的有功分量iA1有,iB1有,iC1有,即可得到负载谐波和无功电流,以此作为三相逆变器输出的补偿电流指令。
    另外,有源滤波器运行中应维持逆变器直流侧电压Ud的恒定。图2中虚线框中表示的是直流侧电压控制部分。如图2所示,将给定值Ud*与实际检测值Ud的差输入PI调节器,输出乘以实际直流测电压Ud,结果作为有功的增量ΔP。将ΔP叠加到图2中低通滤波器的输出,使iC*中有一定的基波有功电流,使逆变器直流侧电容从交流侧获得能量,补偿有源滤波器的运行功耗,从而使Ud稳定在给定值Ud*。

2 仿真和试验结果

    采用MATLAB中的SIMULINK模块对这种检测算法进行仿真,仿真结果如图3所示。由仿真波形可知该检测算法计算出的基波有功电流同电网电压完全同相位,且为标准正弦,这说明检测出的谐波和无功电流是完全准确的。

    实验样机容量设计为6kW,电压为三相380V,负载为电机和不控整流桥。控制部分以TI公司的DSP芯片TMS320S2407为核心,谐波及无功电流检测以及PWM脉冲信号的产生都由相应的软件实现。

    软件中主要涉及到的功能模块有:事件管理器、A/D转换模块、中断服务程序。用T1定时器定时启动A/D转换,对电网电压、负载电流、电网电流和直流侧电压依次采样,设定采样频率为10kHz。A/D转换完成后产生ADC中断,在中断服务子程序中实现算法,计算出谐波及无功电流即补偿电流指令。其中,低通滤波器采用截止频率为20Hz的二阶Butterworth滤波器。电流控制方法采用三角载波调制法,将补偿电流指令与实际的补偿电流相比较,差值送入数字PI调节器,PI调节器的输出与高频三角载波进行调制,由PWM模块产生6路PWM控制信号,其中三角载波由定时器实现,频率为10Hz。

    将6路PWM控制信号送至驱动电路,最终通过IGBT产生相应的补偿电流注入电网。整个系统的仿真结果、实验结果如图4及图5所示。

    实验和仿真有类似的结果。由图5系统实验波形可知,实际负载电流中含有大量的谐波及无功分量,电网电压由于负载影响有部分畸变。经过补偿,电网电流基本为正弦,且与电压同相位。
3 结语

    本文提出的这种新的电力系统谐波和无功电流的检测算法可以检测出包括基波无功电流、零序电流、负序电流及谐波电流在内的所有有害电流。仿真与实验结果验证了这种检测算法的正确性和可行性。这种算法不需要锁相环,不需要进行矩阵变换,具有计算准确,实现简单的特点LPC900系列微控制器桥接人机界面,为日常应用提供低功耗的小巧解决方案。

    随着消费者不断地将科技融入日常生活,亚洲的生产商不得不在他们的系统中采用经济型的解决方案,以吸引这块细分市场。为满足市场需求,皇家飞利浦电子公司日前推出了价格低廉的微控制器LPC935,售价不高于2美元,特别内嵌了2个模/数转换器。

    LPC935是LPC900系列新出的9款微控制器中的旗舰芯片,通过2个模/数转换器,能同时在两个通道(共有8个通道)转换和读取数据,例如可以同时读取电压和电流的测量结果,以便设计员进行实时数据分析。这些LPC935转换器能在不到4μs的时间内对这些信号进行转换。

    LPC395系列成本只及竞争产品的几分之一,是为各种家用设备如咖啡机、洗衣机、智能玩具等设计的,桥接人机界面,能完成模拟和数字计算领域之间的模/数、数/模转换。

    每一款新出的LPC900微控制器,包括LPC904、LPC915/6/7、LPC924/5和LPC933/4/5,都精简了外部元件,采用微型的集成封装,使亚洲的设计师和生产商可以灵活地选择使用模/数转换,或高速数/模输出。通过LPC系列的模/数、数/模转换功能,这些公司在印制电路板上就不再需要使用单独的模/数、数/模转换器。这些新微处理器还能提供定义数据边界的功能,它能限定在哪个数值范围产生中断,这样CPU可以有更多的时间去处理其他的任务。

    LPC900系列基于能以12MHz频率在167ns内执行指令(比传统的80C51提高了600%)的高性能处理架构,应用了字节可擦除闪存技术,以加强灵活性和改进性能。LPC900有一个实时时钟(RTC)和三个16位计数器,增强了计时功能。另外还提供了串行通信信道,如400kHz字节宽



时间:  2006-7-6 08:24
作者: qqqq

交流固态继电器S/HS固态继电器原理与应用
  交流固态继电器SSR(Solid state releys)是一种无触点通断电子开关,为四端有源器件。其中两个端子为输入控制端,另外两端为输出受控端,中间采用光电隔离,作为输入输出之间电气隔离(浮空)。在输入端加上直流或脉冲信号,输出端就能从关断状态转变成导通状态(无信号时呈阻断状态),从而控制较大负载。整个器件无可动部件及触点,可实现相当于常用的机械式电磁继电器一样的功能。

  由于固态继电器是由固体元件组成的无触点开关元件,所以与电磁继电器相比具有工作可靠、寿命长,对外界干扰小,能与逻辑电路兼容、抗干扰能力强、开关速度快和使用方便等一系列优点,因而具有很宽的应用领域,有逐步取代传统电磁继电器之势,并可进一步扩展到传统电磁继电器无法应用的计算机等领域。目前,国内已有北京先锋公司电子厂、上海超诚电子技术研究所、上海中沪电子仪器厂、无锡康裕电器元件厂、无锡天豪电子仪器设备厂、苏州无线电元件一厂等单位生产此类产品。

固态继电器的工作

  SSR固态继电器以触发形式,可分为零压型(Z)和调相型(P)两种。在输入端施加合适的控制信号VIN时,P型SSR立即导通。当VIN撤销后,负载电流低于双向可控硅维持电流时(交流换向),SSR关断。

  Z型SSR内部包括过零检测电路,在施加输入信号VIN时,只有当负载电源电压达到过零区时,SSR才能导通,并有可能造成电源半个周期的最大延时。Z型SSR关断条件同P型,但由于负载工作电流近似正弦波,高次谐波干扰小,所以应用广泛。
先锋公司电子厂SSR由于采用输出器件不同,有普通型(S,采用双向可控硅元件)和增强型(HS,采用单向可控硅元件)之分。当加有感性负载时,在输入信号截止t1之前,双向可控硅导通,电流滞后电源电压90O(纯感时)。t1时刻,输入控制信号撤销,双向可控硅在小于维持电流时关断(t2),可控硅将承受电压上升率dv/dt很高的反向电压。这个电压将通过双向可控硅内部的结电容,正反馈到栅极。如果超过双向可控硅换向dv/dt指标(典型值10V/ s,将引起换向恢复时间长甚至失败。

  单向可控硅(增强型SSR)由于处在单极性工作状态,此时只受静态电压上升率所限制(典型值200V/ s),因此增强型固态继电器HS系列比普通型SSR的换向dv/dt指标提高了5 20倍。由于采用两只大功率单向可控硅反并联,改变了电流分配和导热条件,提高了SSR输出功率。

  增强型SSR在大功率应用场合,无论是感性负载还是阻性负载,耐电压、耐电流冲击及产品的可靠性,均超过普通固态继电器,并达到了进口产品的基本指标,是替代普通固态继电器的更新产品。

  固态继电器的应用

  S系列固态继电器,HS系列增强型固态继电器、可以广泛用于:计算机外围接口装置,恒温器和电阻炉控制、交流电机控制、中间继电器和电磁阀控制、复印机和全自动洗衣机控制、信号灯交通灯和闪烁器控制、照明和舞台灯光控制、数控机械遥控系统、自动消防和保安系统、大功率可控硅触发和工业自动化装置等。在应用中需要考虑下述问题。

  1.器件的发热

  SSR在导通时,元件将承受P耗=V有
时间:  2006-7-6 08:25
作者: qqqq

识别技巧:常用场效应晶体管放大器的识别
  1、场效应晶体管具有较高输入阻抗和低噪声等优点,因而也被广泛应用于各种电子设备中。尤其用场效管做整个电子设备的输入级,可以获得一般晶体管很难达到的性能。

  2、场效应管分成结型和绝缘栅型两大类,其控制原理都是一样的。

  3、场效应管与晶体管的比较

  (1)场效应管是电压控制元件,而晶体管是电流控制元件。在只允许从信号源取较少电流的情况下,应选用场效应管;而在信号电压较低,又允许从信号源取较多电流的条件下,应选用晶体管。

  (2)场效应管是利用多数载流子导电,所以称之为单极型器件,而晶体管是即有多数载流子,也利用少数载流子导电。被称之为双极型器件。

  (3)有些场效应管的源极和漏极可以互换使用,栅压也可正可负,灵活性比晶体管好。

  (4)场效应管能在很小电流和很低电压的条件下工作,而且它的制造工艺可以很方便地把很多场效应管集成在一块硅片上,因此场效应管在大规模集成电路中得到了广泛的应用。



时间:  2006-7-6 08:25
作者: qqqq

微功耗RS485中继器研制
   引 言:

  在通信距离为几十米到上千米时,RS485收发器被广泛使用。RS485收发器采用平衡发送和差分接收,因此具有抑制共模干扰的能力,加上接收器具有高的灵敏度,能检测低达200 mV的电压,故传输信号能在千米以外得到恢复。

  使用RS485总线,一对双绞线就能实现多站联网,构成分布式系统。它的设备简单、价格低廉、能进行长距离通信,故在工程项目中得到了广泛应用。但是如果工程需要更长的通信距离,超出RS485接口能够提供的可靠传送数据范围时,单一的RS485通信控制芯片对就无法完成了。这时,必须在传输线路中增加中继器。

  笔者在长期实践的基础上,设计了一种微功耗的RS485中继器,经实地测试,通信距离可达原来的1.8倍。

    1 中继器原理

  中继器原理图如图1所示。其中,U1和U2是中继器的收发芯片对,负责数据的收发或发收,采用Sipex公司的3 V低功耗芯片SP3485,单片待机时电流为10 μA,其他逻辑电路均采用HC型,待机电流2 μA,大大降低了系统功耗。
  
图1原理图

  低待机电流和真失效保护是该应用的两个关键特性。RS485是一种半双工通信标准,必须控制好总线的收发状态。当RS485输入开路,或者已经终端匹配且没有驱动的情况下,U1和U2将使其接收端输出(RO)为高电平。在差分输入端A1和B1处,如果有输入的字节数据时,则在U1的RO端将产生一个电压跃变,由触发器74HC74及与非门74HC00组成的状态机在RO的下降沿锁定为ON状态。状态机将U2的驱动器使能引脚(DE)拉为高电平,使输入数据字节从U2以RS485电平转发出去。

  状态机一直监视RO引脚的电压跃变。当一个数据字节传输完成时(当在一个内定的时间间隔内没有下降沿加在状态机上时,即表示字节传输完毕),状态机自动复位,并等待任何一侧接口上的下一个数据字节。

  一帧数据到达U1后,被转发至U2的A2端口和B2端口输出。在最后一次跳变700 μs后U2释放其输出。其他的时间延迟可以通过调整图1中的R1/C1和R2/C2得到。
  同样,如果一帧数据到达U2后,将被转发至U1的A1端口和B1端口输出。这样就实现了数据的双向传输,并且由于中继的原因,理论上通信距离会增至原来的2倍。

    2 测试结果

  使用泰克示波器TDS2012观察接收和发送端的波形,并加以存储分析,如图2所示。

  其中,垂直刻度为1 V/格,水平刻度为400 μs/格;上部波形表示一帧数据到来,中间和下部波形分别表示被转发至B2和A2。由图2可见,波形的上升沿和下降沿都很陡,说明数据电平比较规范,失真度很小。并且由于采用了状态机结构,能够自动识别数据传输方向,比采用软件控制方向更加方便、可靠,达到了设计要求。

  另外,在系统允许的情况下,波特率应尽可能低,因为过高的波特率将致使传输距离受限。由于传输线的欧姆阻抗、集肤效应等损耗引起信号畸变,从而通信距离受到限制。从实验结果总结看,有中继器的数据传输波特率不宜超过14400。增加中继器后通信距离为原来的1.8倍。

  功耗方面,在没有数据传输的待机状态,用微安表测得整机功耗电流约为22 μA(供电电压3 V)。
     
图2波形图

    结语

  在对RS485总线理论深入分析的基础上,结合实际应用,设计了一种完全由硬件组成的RS485中继器。经过实地测试,收到了良好的效果;同时采用了3 V的低功耗芯片,使它非常适宜工作在电池供电的场合。这对于单片机及其他系统的长距离通信有一定的参考价值。



时间:  2006-7-6 08:25
作者: qqqq

使用技巧: 如何延长手机电池的待机时间?
    不少消费者在使用手机的过程中,发现手机待机时间大幅度“缩水”。其实,除了有部分原因是商家过分夸大了手机的待机时间外,还和消费者使用手机的环境和方式有关。
 
  对于如何延长手机待机时间,手机维修人士认为:首先,在恶劣天气里和密封环境下尽量减少手机通话使用。因为在这些条件下,无线通信微波的传输质量将受到影响,如果进行通话,手机只好通过加大功率的方法,来保护信号的正确传送,而加大功率的直接后果是导致手机耗电量加大,缩短手机待机时间。
    其次,手机保养不当也会令待机时间缩短。电池与手机的接触点有一些金属薄片,这些金属薄片在使用一段时间后容易脏污或被氧化,从而对手机内部零件产生不良影响,同时消耗更多电能。因此,平时可用酒精对其接触点进行擦拭保养。另外,尽量避免频繁地保存或删除手机内的电话号码,也可以延长手机待机时间。  


时间:  2006-7-6 08:25
作者: qqqq

我们在使用电子管时 应该特别注意的事项
(1)电子管应该在额定灯丝电压条件下使用。
  (2)电子管各电极的损耗功率,不允许超过极限值。
  (3)尽量避免采用灯丝串联电路,若避免不了时,灯丝的电流特性要相同,以防止各阴极的加热不均匀。
  (4)电子管出厂前经过激活、老化和测试,出厂后不允许对电子管进行机械和电气状态的老化,但允许对其电气性能和参数时行检测。
  (5)使用旁热式电子管时,阴极和灯丝间的电位差不能超过规定的极限值,为此,常采用专用的灯丝变压器供电,为了消除漏电流不稳定的影响,在不妨碍电路工作的条件下,可在阴极和灯丝间接上一个约几欧的分路电阻。
  (6)正确选择第一栅极电路电阻很重要,这个电阻应当尽量地小,对高跨导的电子管尤其是这样。
通常,宜采用自给偏压,而不用固定偏压电路,对于高跨导(大于10毫安/伏)的电子管,可在阴极电路中接入一个较正常偏压所需阻值较大的电阻,但要注意,此时阴极电位较高,为了保证需要的栅极负偏压,必须供给栅极一比阴极电位低的正电压,使栅压对阴极为负值。
  (7)电子管使用在极限参数情况下,虽然仍能保持工作正常,但寿命会迅速缩短,不允许在超极限参数情况下使用电子管。
  (8)收信放大管在高温(+70°)、低温(-55°)、高湿度(相对湿度达98%、温度为40±2°)的环境里工作时,仍然是稳定的。
  (9)当环境温度升高时,电子管的工作稳定性取决于管壳发热最大部位的温度,管壳的温度决定于电子管热辐射、热传导、对流及散热面积,以及电子管周围物体的温度,因此在设计电子装置时应考滤:
  ①使用屏蔽罩,应保证管壳能靠空气的作用把热量传导出去,而且屏蔽罩表面的热反射要小(例如黑色的屏蔽罩)。
  ②装置中各元件的位置安排,应有利于电子管的散热。
  ③要控制电子管的管壳温度,各种电子管的玻璃壳允许温度是不相同的。例如,功率输出管在工作时的允许极限温度,原则上不就超过90℃。
  (10)除了只有特殊结构的高可靠性电子管能在较高的加速度下工作以外,其它的收信放大管只能短时承受较小的震动,因此,在使用时要注意电子管的减震。
  (11)使用小型管(姆指式)及其它无管基的电子管(但具有管针)时,为了不使玻璃壳破裂或损坏,必须遵守下列条件:
  ① 使用电子工业部规定的电子管管座。
  ② 插入电子管时,应防止管座插孔内接触簧片的正常位置受到损坏。
  ③ 应避免利用管座的空脚作连接焊片使用。
  ④ 插拔电子管时,其方向应与管座平面垂直。   


时间:  2006-7-6 08:26
作者: qqqq


IXP处理器设计数字家庭媒体中心系统
    摘  要  随着电子消费类设备需求的不断增加以及Internet数字媒体内容的迅猛发展,家庭网络中的数字媒体内容开始持续增长,人们更加迫切地需要增强对等通信与信息共享的能力。本文描述了一种基于IXP网络处理器架构的数字家庭媒体中心系统(DHMCS)的设计及其实现。
    关键词  ARM,家庭媒体系统,嵌入式系统,NMPR,UPnP
1 引  言
    1.1 数字家庭的广泛应用
    数字技术和计算机技术的飞速发展正不断产生众多的数码产品,从个人电脑、手机、PDA到MP3、数字电视、DVD、DV/DC,数字家电产品已经步入了人们的日常生活之中。同时,宽带通信与互联网应用的普及也极大地促进了数字媒体内容的发展。因此,构建一个宽带接入、内部互联、内容共享的数字家庭媒体中心的需求也变得日益突出。
    根据IDC的定义,数字家庭是指可以实现家庭内部所有设施控制并可得到反馈信息,声音、文字、图像信息可在不同家用设备上共享,并可随时随地实现这些控制与信息共享\[1\]。IDC的最新报告表明,至2008年数字家庭市场直接带来的网络、终端等相关软/硬件的投资将超过100亿美元,其中双向机顶盒2008年约安装3 300百万台,年复合增长率将达到311.7%,智能家庭网关由于其数字家庭高端解决方案的市场定位,2003~2008年的年复合增长率将高达89.4%\[1\]。因此,数字家庭媒体中心的推广必将会产生巨大的附加产值,带来巨大的发展潜力。从1994年数字家庭概念的诞生,到今天数字家庭市场的正式启动;从“维纳斯”计划的推出,到通用即插即用论坛(UPnP,Universal Plug and Play)、数字生活网络联盟(DLNA,Digital Life Network Alliance)的成立,经过十余年的发展,数字化生活已进入了真正的发展期。
    1.2  ARM技术的发展
    嵌入式系统源自80年代以来的8位单片机技术。在90年代后期,随着网络技术的飞速发展,人们的日常生活进入了后PC时代,许多电子设备需要TCP/IP网络功能和更智能、更强大的计算能力,如语音、音频、视频的数据采集、处理与传输,图形界面和触摸屏技术,无线控制技术和相应的软件协议等。因此,对功能更强大的嵌入式处理器的需求也变得越来越突出。ARM作为嵌入式系统领域中应用最广泛的32位处理器体系结构,已遍及工业控制、消费类电子、通信系统、网络应用、无线通信、成像和安全等各类应用领域,并成为多个应用领域的标准CPU,ARM技术已经渗透到人们生活的各个方面。
    采用ARM技术知识产权(IP)核的微处理器目前包括:ARM7系列、ARM9系列、ARM9E系列、ARM10E系列、SecurCore系列、StrongARM系列和XScale系列。它们的共同特点是:体积小,功耗低,成本低,性能高;支持Thumb(16位)/ARM(32位)双指令集,能很好地兼容8/16位器件;大量使用寄存器,指令执行速度更快;大部分数据操作都在寄存器中完成;寻址方式灵活简单,执行效率高;指令长度固定。除了具有上述共同特点之外,每个系列还具有各自的特色和应用领域。其中,ARM7、ARM9、ARM9E和ARM10E为4个通用处理器系列,每个系列提供一套相对独特的性能来满足不同应用领域的需求;SecurCore系列专门为安全性要求较高的应用而设计,如电子商务、电子政务、电子银行业务、网络和认证系统等领域;Intel公司的StrongARM系列融合了Intel的设计和处理技术以及ARM体系结构的电源效率,在软件上兼容ARMv4体系结构,同时还采用了具有Intel自身优点的技术,适用于便携式通信和消费类电子领域;Intel公司的XScale系列是基于ARMv5TE体系结构的解决方案,具有高性能、高性价比、低功耗等优点,适用于数字移动电话、个人数字助理和网络产品等领域。
2  数字家庭媒体中心系统的设计
    随着电子消费类设备需求的不断增加(如数码相机、数字摄像机、MP3播放器和高清DVD等设备)以及Internet数字媒体内容的迅猛发展,家庭网络中的数字媒体内容开始持续增长,人们更加迫切地需要增强对等通信与信息共享的能力。为了满足数字媒体在家庭内部以及外部Internet充分流通和共享的需求,我们开发了一种高性价比的数字家庭媒体中心系统(DHMCS,Digital Home Media Center System)。该系统结合Intel的IXP网络处理器和ESS多媒体解码芯片,无缝地连接家庭内部的个人电脑和家电,并通过以太网/无线网络连接家庭外的宽带Internet,在家庭环境内/外共享数字媒体内容,能够对多种媒体格式进行管理、存储、显示以及分配,提供集成的数据、多媒体应用以及自动控制与远程管理等功能。
    图1描述了DHMCS系统的整体框架。它通过DHCP协议、PPPoE协议、UDP协议、FTP协议、HTTP协议、UPnP协议\[2\]以及USB接口,从家庭PC机、宽带Internet连接的网络、存储卡和DVD等设备中获取多媒体数据,并且将这些数字媒体内容在电视机和音响设备上播放。同时还提供媒体文件的管理以及远程控制功能。
图1  DHMCS系统整体框架
点击此处查看全部新闻图片
    2.1  DHMCS的系统结构
    DHMCS由两个子系统组成:一个是由IXP 425(Intel XScale系列)网络处理器构成的网络子系统;另一个是由ESS 6688 DVD解码芯片构成的数据解码子系统,以下简称为“IXP子系统”和“ESS子系统”。IXP子系统通过UDP协议、FTP协议、HTTP协议和UPnP协议,从网络上获取媒体数据;ESS子系统则对这些媒体数据进行解码并进行音频/视频输出,同时也能对存储卡和DVD上的播放资源进行处理。IXP子系统和ESS子系统通过I2C总线和双端口SRAM(DPRAM)连接起来,其中: I2C总线主要负责控制命令和响应的传输;而DPRAM则主要负责媒体数据的传输。本文的后续部分主要讨论IXP子系统,而ESS子系统则超出了本文的范围。图2详细描述了DHMCS中IXP子系统和ESS子系统的结构。
图2  DHMCS中IXP子系统和ESS子系统的结构
点击此处查看全部新闻图片
    DHMCS完成一个用户的请求涉及以下7个步骤:① ESS子系统将用户请求网络媒体文件的按键转换为一个请求信息,并且按照一定格式写入DPRAM中,然后ESS子系统使能相应的中断,通知IXP子系统DPRAM中的数据就绪;② IXP子系统从DPRAM中读取就绪的数据,获取用户的请求信息;③ IXP子系统根据获取的请求信息和指定的服务器,建立Ethernet/WiFi网络连接;④ 服务器返回请求的媒体数据到IXP子系统中;⑤ IXP子系统将返回的数据通过相应的应用函数接口写入DPRAM;⑥ IXP子系统使能相应的中断,通知ESS子系统用户请求的数据已返回;⑦ ESS子系统解码返回的媒体数据,并通过音频/视频接口输出。
    为了实现DHMCS的网络功能,将IXP子系统的软件功能划分为以下3层: 应用层、操作系统层和驱动层。应用层主要提供网络功能模块以及和ESS子系统通信所需的模块,具体来说,包括UPnP协议、DHCP协议、PPPoE客户端、FTP客户端、HTTP客户端,以及通信协议模块。操作系统层基于MontaVista v3.0的Linux内核,具有内存管理、进程调度、设备管理、文件系统、中断处理和网络协议栈等功能。驱动层主要提供各种设备的驱动,包括以太网驱动、无线WiFi驱动、Flash驱动、DPRAM驱动、I2C驱动,以及USB驱动。图3描述了上述软件结构。
图3  IXP子系统的软件结构
点击此处查看全部新闻图片
    2.2  IXP子系统的应用层设计
    用户发出的请求主要有3类: 文件类请求(包括目录列表等请求)、系统的设置或者命令请求,以及UPnP媒体流请求。对应于以上请求,将IXP子系统的应用层划分为:应用代理模块、媒体文件下载模块(负责通过TCP/IP协议取得请求文件)、UPnP媒体流模块(负责处理UPnP请求)、用户远程设置模块(负责处理系统设置请求),以及与ESS子系统进行数据传输和命令控制的通信协议模块。应用代理模块层在DHMCS系统中处于枢纽位置,它将各种网络应用(如FTP、HTTP、UPnP以及用户远程设置)有效地连接起来,起到应用代理的作用。应用代理模块首先从通信协议模块接收ESS子系统发送的用户请求;然后交给相应的模块处理;最后将服务器返回的数据和响应通过通信协议模块返回给ESS子系统。图4描述了IXP子系统应用层的模块划分。

图4  IXP子系统应用层的模块划分
点击此处查看全部新闻图片
    IXP子系统和ESS子系统之间的数据传输和命令控制则由通信协议模块和通信驱动模块共同完成。通信协议模块主要负责IXP子系统和ESS子系统之间通信协议的实现,它将用户的请求或者服务器返回的媒体数据构造成数据包(Packet),并将每个Packet拆分为几个更小的mPacket,以便通信驱动模块的传输,另外两个子系统之间的确认消息也在这一层实现;而通信驱动模块主要负责具体的硬件传输,它直接控制I2C总线和DPRAM硬件,能够将信息准确无误地传输到ESS子系统中。参考OSI标准的7层模型,将IXP子系统和ESS子系统之间的通信协议划分为以下4个层次:应用层进行ESS子系统的请求消息和IXP子系统的响应消息的交互,完成消息的解析和处理;传输层主要是对要传输的数据进行分段重组(SAR),实现媒体文件和命令端到端的传输;链路层主要是进行DPRAM内存块的读/写以及I2C总线上的控制命令传输,提供两端访问DPRAM和I2C总线的通信机制;DPRAM提供双端口读/写内存块的通道,I2C提供命令控制通道。整个过程如图5所示。
图5  IXP子系统和ESS子系统之间通信协议的层次划分
点击此处查看全部新闻图片
3  IXP子系统的实现
    本节将详细介绍IXP子系统中UPnP媒体流模块以及IXP子系统和ESS子系统之间的媒体数据传输功能的实现。系统中其他模块的实现相对容易,在此不做描述。
    3.1  UPnP媒体流模块的实现
    我们实现的UPnP媒体流模块符合Intel的网络多媒体产品规范[3](Networked Media Product Requirements:NMPR v1.0)。NMPR规范将媒体设备划分为3部分: 媒体服务器(Media Server)、播放器(Renderer)和控制点(Control Point),并且利用UPnP协议完成这3部分之间媒体数据和控制命令的传输。我们实现的UPnP媒体流模块实际上包含了Renderer和Control Point这两部分,媒体服务器可以是符合NMPR规范的任意的PC机。
图6  UPnP媒体流模块的实现
点击此处查看全部新闻图片
    图6描述了UPnP媒体流模块的实现过程。首先应用代理模块向控制点发送用户的数据请求,控制点接收到用户的请求后就与媒体服务器进行通信;然后将媒体服务器的响应信息返回给应用代理模块,随后媒体服务器将用户所请求的媒体数据传输给Renderer;最后Renderer将这些数据返回给应用代理模块,再由通信协议模块传输给ESS子系统,再由ESS子系统对这些媒体数据进行解码输出。同时控制点还可与Renderer相互通信,实现一系列媒体播放的功能。
   3.2  DPRAM媒体数据传输功能的实现
    通信协议模块实现的主要功能有:将Packet拆分成多个mPacket;向通信驱动模块发送或接收mPacket;向应用代理模块发送用户的数据请求和控制命令,并接收服务器的响应消息;管理请求队列和响应队列。完成一次IXP子系统和ESS子系统之间媒体数据传输所涉及的数据变化如图7所示。
图7  IXP子系统和ESS子系统之间数据传输所涉及的数据变化
点击此处查看全部新闻图片
    应用代理层到DPRAM的数据传输过程为:① 应用层根据需要将相应的数据传递到传输层;② 传输层收到报文后检查报文的长度,如果报文长度大于底层所能够传输的报文长度,则传输层将报文进行拆分,添加相应的报头信息,以保证接收端能够正确地重组,并传递给链路层;③ 链路层收到上层报文后,在报头添加相应的控制信息,以保证接收端能够正确地存取数据,然后将报文发送到DPRAM上。反之,由DPRAM到应用层的数据传输过程为:① 链路层从DPRAM中读取报文,并去掉相应的报头信息,将数据传递给传输层;② 传输层收到底层传递的数据后,根据该层添加的报头信息重组报文,然后传递给应用层。
4  结束语
    本文结合了IXP网络处理器和ESS解码芯片的特点,实现了一种具有高性价比的家庭媒体中心系统。它充分满足了数字媒体在家庭内部以及外部Internet充分流通和共享的需求。


时间:  2006-7-6 08:26
作者: qqqq

利用单芯片MCU提高照明系统能源效率
    在住宅用电中,照明用电占有相当大的比重。以家庭 用电为例,空调、冰箱、热水器等用电大户一直是大家关注 的焦点,而天天都在使用的照明反倒被认为是“小儿科” 了。其实,每一张电费账单中都要为照明用电支付1/6的 费用。如何在中国推广节能的照明产品,提高照明系统的 能源效率,降低照明用电量,已经成为摆在中国工程界面 前的最大挑战,这使工程师们必须考虑采用节能器件来设 计自己的产品。

1 数控电子镇流器应运而生

    在鳞次栉比的建筑物中,照明系统最普遍的形式是使用各种荧光灯管,以及采用简单的感应器和启辉器的镇流器。据估算,这种传统的方式可消耗建筑物用电量的 40%,既低效又浪费,而且成本昂贵。新的欧洲和国际立法要求从2005年开始增加效率和停用两种最低效率的镇流器。这些法规将对镇流器设计者施加新的压力,迫使他们改善已有设计的能效,开发有效的、成本低廉的电子照明镇流器。随着电子技术的发展,利用微控制器(MCU) 设计电子镇流器已经不是什么稀罕的事情了。MCU为高能效镇流器的开发提供了理想的低成本解决方案。图1 是电子照镇流器的框图。

    那么,采用电子镇流器可以得到哪些好处呢?归纳起来有以下几点:电子镇流器比传统的磁性镇流器增加了系统效率,延长了荧光管的寿命;电子系统为可变亮度调整增加了控制级别;数字控制可实现大型照明网络的远程控制;数字可寻址照明接口(DALI)协议使智能建筑物自动化和成本经济的大型照明网络控制成为可能。

2 提高能源效率的动力

    使用传统的镇流器要消耗大量的电能,而且实现对单一灯管的亮度控制一直是荧光灯照明系统设计者面对的难题。飞思卡尔(Freescale Semiconductor)新型单芯片 MCU HC908LB8的问世解决了这个问题,也使照明系统 能源效率的提高又上了一个台阶。

    飞思卡尔的M(268H C908LB8 8位快闪微控制器是一 种高精度的半桥控制器,是专门为所有需要功率因数校正(PFC)或高精度脉宽调制(PWM)发生器(HRP)设计的。其高集成度的特点使之能简化整体系统设计,也使其成为需要PFC及高精度PWM控制功能应用的优选方案。它可应用于单片智能型荧光灯照明系统,也可用于车灯控制、高强度放电照明系统,以及电动机控制。

    由于HC908LB8内集成了高精度PWMHRP模块,可以连续控制灯管的亮度变化,从而可实现荧光灯照明系统的暗光控制。它还支持数字DALI协议,从而为大型照明系统提供了智能化功能。例如,设计者可对基于DALI的照明系统进行编程,使靠近窗户的照明光线关闭或调暗,从而充分利用自然光线。图2是MC268HC908LB8的框图。

    MC68HC908LB8采用标准的5 V电压供电,内部总 线工作频率为8 MHz,采用20引脚PDIP和SOIC封装。 其可微调的内部RC振荡器在16 MHz运行时,可用作 CPU总线时钟(4 MHz)的基础,节省了外部元件成本。 设计者也能利用诸如外部RC或晶体振荡器等的时钟运行器件。其定时器接口模块具有执行输入捕获的能力,输出比较或脉宽调制具有低至125 ns的定时精度。

    另外,MC68HC908LB8还包括一个带有理想驱动半桥的互补输出对的HRP模块。可编程的空载时间插入和短路预防功能可保证最高的效率。当HRP执行定时频率或工作周期抖动时,定时精度可减少到3.9 ns。如果 发现了问题,故障输入安全特性可产生一个信号,进行迅速关机。它可对大多数参数自动计算,因而容易使用。

    MC68HC2908LB8有一个2通道PWM模块,具有故障输入检测和中断功能。当PWM与运算放大器/比较器模块一起使用时,该单元可实现低成本的PFC,为主要的功率应用提供一种理想的集成解决方案。

    安全特性是MC68HC908LB8的另一个特色,包括完全计算机运行(COP)和低电压抑制(LVI),加上从一40~ +125℃的温度范围,为恶劣的应用环境提供了一个强大的解决方案。

    HC908LB8基于飞思卡尔HC08 CPU内核,内部总 线频率为8MHz,软件精度为±2%。其主要特点包括:
    ◇8KB闪存,128B RAM;
    ◇高精度PWM HRP;
    ◇功率因数校正;
    ◇7通道、8位ADC;
    ◇1个带有中断功能的16位定时器;
    ◇带有抗噪的低电压抑制技术和确保软件安全的计 算操作;
    ◇时钟模块可选择RC振荡电路、内部晶振和外部 晶振;
    ◇最多可有18个I/0接口(多路复用的外围接口);
    ◇7个I/0可用于键盘中断;
    ◇大电流控制逻辑I/O(sink/source),典型值为10 mA;
    ◇多种电气安全特性。

    值得一提的是,在有效的主电源供电应用中,作为关键要求的PFC可将输入电流转化为一个与输入电压保持同相的正弦波,从而提高效率、降低信号失真、降低运行成本和噪音。HC908LB8带有成本经济的PFC功能,为主电源供电应用提供了理想的集成方案。

3 节约成本,加速产品开发

    在典型的镇流器应用中,使用HC908LB8可将分立数字系统元件的数量减少50%。除了能节省空间,还可缩少PCB尺寸和降低生产成本,并有助于降低管理成本。

    为了演示该器件的各种特点,飞思卡尔将通过提供价格适当的开发硬件(评估板和演示板)、免费的参考设计、应用指南、在线教程、配套软件、系统框图和材料清单,帮助设计者加速产品开发进程。系统仿真模块FSICE- KITLB8 Freescale Complete FSICE是一个高性能的仿真 工具,包括仿真器、电缆、连接适配器(head adapter)和编 程适配器。另外,设计者还能得到第三方的支持,如 Metrowerks公司提供的Code Warrior IDE特别版。高度 集成的免费工具包括:编辑器、C编译程序、调试程序、模拟器和Processor Expert代码生成工具。

    该参考设计为两个并行连接的荧光灯提供了具有 PFC功能的完整数字减光型镇流器设计,可以满足能源保护及其相关立法对高效照明镇流器设计的需求;同时,为能源保护和智能建筑物自动化所推动的控制照明网络的DALI标准,尤其是荧光镇流器的开发奠定了基础。目前,全球主要照明制造商都承诺支持DALI接口,以实现低成本控制的大型照明网络。该参考设计为用户示范了

    如何在主从配置中利用飞思卡尔的68HC908KX8与简单的协议进行通信。图3是演示板的照片。

    新的参考设计可以大幅度改善镇流器的能效、减少系统复杂度,降低成本,并满足日益苛刻的能效要求。与目前市场上的传统技术相比,该解决方案可帮助设计者设计出具有更高能效和成本低廉的电子镇流器。

    总之,使用MC68HC908LB8的好处包括这样几个方面:利用PFC减少功率损耗和失真;利用高精度PWM信号实现精确控制;高度的集成可减少系统尺寸和成本;满足荧光照明系统镇流器的能量效率要求。




时间:  2006-7-6 08:26
作者: qqqq


正交解调器简化直接转换接收器的系统设计
  与以往相比,下一代无线系统的基站接收器必须以更低的成本实现更高的性能。而直接转换接收器正是解决这对矛盾的理想方案。虽然这个方案在过去也曾被用于不同的设计中,但其性能受到包括解调器在内的现有硬件的限制。幸运的是,随着商用集成电路(IC)正交解调器性能的改善,使直接转换接收器设计成为传统超外差接收器架构之外的另一可行的选择方案。  
  想要更好地理解直接转换的优势,只要通过与超外差系统的接收器方案进行比较就一目了然了(图1)。超外差系统接收器方案因其高选择性和灵敏性而被普遍采用。在超外差接收器中,接收到的RF信号先经首个RF预选滤波器滤除频段外的信号,然后通过低噪声放大器(LNA)放大。位于LNA输出端的第二个RF预选滤波器提供额外的过滤以削弱镜频中的干扰信号。通过将降频转换混频器与本机振荡器(LO)相联,处理过的信号被转换成较低的中频(IF)。IF必须足够高才能使镜像频道降至滤波器的停止频段内。出于对镜频抑制的考虑,IF应为载波频率的10% 附近。RF预选滤波器能去除频段外能量,拒绝镜像频段信号。超外差接收器在IF和基带级执行频道过滤功能,对这些元器件提出非常高的动态范围要求。

图1:简化的超外差接收器模块图
  针对基站的超外差接收器,固定增益LNA常被用于接收信号的最初放大。包括噪声在内的整个通带频率转换成固定IF。虽然仍需要一个高LO功率(大于+10dBm)来驱动这一混频器,但为了降频转换,无源(二极管)混频器还是最常用以满足高线性和低噪声动态范围要求的混频器。由于无源混频器的典型特点是LO至IF的隔离性差,这使得接收器IF部分的LO过滤变得复杂化。在混频器的IF输出端,有用信号频道处于IF频道选择滤波器的中心位置,此滤波器用于去除无用的相邻频道或相隔频道。
  紧随IF频道选择滤波器之后,可用频道经可变增益放大器放大后,解调到基带中进行信号处理。高品质因数(Q)的IF频道选择滤波器让可用信号顺利通过,将包括振幅较大的备用频道信号在内的无用信号排除在外。然而,这样的选择滤波器非常昂贵,不合理地提高了超外差接收器的成本。此外,高Q滤波器的插入损耗通常很高,需要LNA和混频器级的额外增益以抵销滤波器损耗和降低VGA噪声指数。
  由于在基站接收器中LNA增益固定,混频器必须达到很高的线性度才能满足系统严格的动态范围要求。此外,IF频道选择滤波器拥有能精确调整到所需频道带宽的频率响应功能。IF频道选择滤波器的不灵活性限制了接收硬件,使后者只能适应单一的RF标准。由于无线通信标准的多样性,新型接收系统必须在用于支持某一种标准的有限成本预算下,实现无缝有效地支持不同标准。
  直接转换接收器架构能实现超外差设计的目标,但结构远不需要像后者那么复杂(见图2)。在这一系统中,接收的信号经过首个RF预选滤波器后,由固定增益LNA放大。随后,RF信号直接降频转换成同相(I)和正交(Q)基带信号而无需加入IF级。由于没有镜频,二次RF预选过滤的要求无需像第一次那么严格。实际上,价格便宜的RF带通滤波器能阻止频段外的强信号使I/Q解调器超负载。[如果没有这个滤波器,强频段外信号将导致带内二阶和三阶交调份量,结果产生符号相互干扰(ISI)]。当 RF 信号解调到基带后,单个频道选择通过采用基带频道选择滤波器实现。基带滤波器比超外差接收器的IF频道选择滤波器更加紧凑和廉价。此外,基带频道选择滤波器能根据不同的带宽进行设计,适用于多模式和多标准操作。

图2:简化型直接转换接收器模块图
  虽然基带频道选择滤波器拥有极大的灵活性,但复合基带信号却包含了所有通常在到达I/Q解调器前被过滤的相邻频道块信号(见图1)。因此,直接转换接收器的I/Q解调器必须提供宽至80dB的动态范围。
  幸运的是,凌特公司LT5515和LT5516 I/Q解调器是现有为数不多并能提供这类性能的经济型产品。这两款IC均集成了RF信号分离器、精确正交LO信号分配器和两个高线性度下变频混频器的功能。这些芯片能直接将RF信号降频转换到基带,解调同相(I)和正交(Q)信号成份。它们匹配的I和Q频道以确保精确增益和相位匹配,以致很大程度上减少了校准的需求。LT5515的工作范围是1.5到2.5GHz,LT5516则能处理0.8到1.5GHz范围内的RF输入信号。这些芯片还在每条I和Q频道上集成单极点260MHz带宽的低通滤波器 (见表格1) 。

表格1:两正交解调器性能一览表
  LT5515和LT5516解调器是需要良好线性度和宽动态范围接收器的理想解决方案,适用于无线基站(GSM、CDMA、WCDMA等)、无线基础设施和仪器应用领域。像正交解调器等直接转换接收器IC无需额外的IF级,缓减对高频滤波器的需求,特别是免去了IF频道选择滤波器。通过 +20dBm输入三阶截取 (IIP3) 和 +50dBm 输入二阶截取(IIP2),正交解调器能满足基站接收器严格的动态范围要求。
  直接转换接收构架的一个令人关注的问题是寄生LO泄漏。这个问题产生于I/Q解调器从天线或其它途径耦合少量的LO能量。LO泄漏与LO本身混合在一起生成了DC偏移。根据LO泄漏路径,载波馈通经过可能在有用的基带信号上叠加较大和可能随时间变化的DC误差。在基站结构中,由于接收系统是典型平稳的,LO自混合产生的DC偏移可能更多的是静态而不是随时间变化。鉴于LT5515和LT5516采用的是有源而非无源的混频器,所以它们仅需 –5dBm LO功率级,而不是典型无源混频器所需的 +10dBm。由于LO和RF端口间的良好隔离性,LO泄漏降至最低,而LT5515仅 -46dBm,LT5516仅 –65dBm。最终,只有几毫伏的静态DC偏移从LO自混合产生。
  直接转换方式的另一个问题是由设备失配产生的DC偏移。失配导致的DC偏差产生于正交解调器和/或VGA。正交解调器输出的DC偏移本身并不会引起接收器故障和性能下降。然而,由于VGA电压空间限制,当VGA在高增益模式下运行,增益高达60dB时,几毫伏的DC偏移就足以减少信号摆幅或使VGA饱和,从而降低接收器有效的动态范围。为处理大阻隔信号,LNA增益通常限制在20dB 范围,以致在信号微弱情况下到达混频器的有用信号级可能为几百毫伏左右。因此,相对于VGA输入的累计DC偏移必须控制在上述级别以下。为进一步基带信号处理时正确操作VGA,则需要DC偏移消除或AC输入耦合。
  虽然接收器和发射器在不同频率下工作,但大多基础设施的基站在全双工模式下运行。在这种接收系统中,不必对DC电压的定位时间给予过多的关注。在许多现代的无线接收系统中,基带信号几乎不包含低频信息。这使LT5515和LT5516解调器的I和Q频道输出能AC耦合到基带滤波器或通过隔直电容器至VGA,从而有效去除DC偏移。LT5515或LT5516每一I频道和Q频道输出都通过一个60Ω电阻内部连接在供电电压上。因此,高通滤波器 –3dB滚降频率由隔直电容器和输出电阻负载Rload的RC常量确定,其中Rload特别大 (远大于60Ω)。
  当LT5515或LT5516需要DC耦合至基带电路时,数字偏移去除方法可运用到基带VGA输入上。每一次VGA设置可以通过基带处理器上评估并去除DC偏移。虽然DC偏移并不会影响接收器的RF性能,但为了VGA的正常运行,必须将之去除。DC周围的频谱损耗能低到几赫兹。对于半双工系统,运用适当的方法可将DC偏移分离开,具体是将基带内的载波恢复、符号定时恢复、自动增益控制和数据检测结合在一起。在接收系统中,典型地是帧结构的前同步信号有已知的DC信息,允许DC偏移的自适应逐帧消除。LO在 –5dBm下运行时,LT5516和LT5515的输出DC偏移分别只有1mV和4mV。如此低的偏移电压使接收器可通过低成本的模拟到数字转换器实现偏移去除。
  直接转换接收器需关注的另一问题是偶阶失真产物。在传统超外差接收器中,二阶失真通常在频带外,很容易被过滤。然而在直接转换接收器中,偶阶失真 (特别是二阶产物) 会引起带内干涉。例如,当两个频率范围接近频道带宽强干扰器处于正交解调器的输入端时,解调器的二阶非线性将产生低频交调份量。变形产物将落入基带频谱,并且无法在之后的基带信号处理中过滤出去。因此,卓越的IIP2是直接转换接收器提供一流性能的前提。解调器和LO信号路径混频器中存在的失配现象将导致带内二阶交调份量。输入RF信号(经RF放大器二阶变形)的二阶谐波也会与LO信号的二次谐波混合,产生与前面相同的效果。因此,LT5515和LT5516 (分别是 +51和 +52dBm) 的高IIP2在防范基带信号免受偶阶交调干涉方面起到十分重要的作用。通过在I和Q输出端正确过滤无用的高频混合产物,性能还可被进一步提升,从而有效防止无用混频产物耦合回解调器,以免生成带内二阶交调。一个简便的方法是用并联电容器端接每一输出。依靠工作频率和特殊的印制电路板 (PCB) 布局,电容器值可被优化。
  高性能直接转换接收器系统设计是现代基站接收器发展最前沿的设计。虽然直接转换接收器解决方案在过去的几十年一直处于研究阶段,但就在最近,现有的高性能元器件使直接转换架构在很宽的无线应用范围内得以实现。

时间:  2006-7-6 08:27
作者: qqqq

在整个设计流程仔细规划如何控制IC的功耗
    在许多设计中,功耗已经变成一项关键的参数。在高性能设计中,超过临界点温度而产生的过多功耗会削弱可靠性。在芯片上表现为电压下降,由于片上逻辑不再是理想电压条件下运行的那样,功耗甚至会影响时序。为了处理功耗问题,设计师必须贯穿整个芯片设计流程,建立功耗敏感的方法学来处理功率。

    互连正在开始支配开关功耗,就像在前几个工艺节点支配时序一样。右图表明了互连对总动态功耗的相对影响。今天,设计师有能力通过布线优化来减少功耗。


    在物理设计阶段,设计师也可以发现更多自动降耗的机会。在物理设计过程中自动降耗将是对设计流程早期以及逻辑综合过程中功耗减少的补充。

    功耗是一个“机会均等”问题:从早期设计取舍到自动物理功耗优化,所有降低功耗的技术都彼此相互补充,并且需要作为每个现代设计流程中的一部分加以考虑。工程师在解决功耗问题的时候,可以把下面这些准则作为任何一种设计方法学的有机组成部分加以应用。

    应该

    理解功耗是与性能(时序)、功能以及你的设计成本一样重要的设计参数。在做设计决策和权衡时把功耗因素考虑进去。流程早期明智的设计决策能带来实质的功耗节省。然而,在设计过程的初始阶段,自动减少功耗则比较困难。

    采用高级设计技术来减少功耗,例如电压/功率岛划分、模块级时钟门控、功率下降模式、高效存储器配置和并行。能减少功耗的高级抽象技术包括动态电压和频率调整、存储器子系统分区,电压/功率岛划分以及软件驱动睡眠模式等。

    在RTL级和准RTL级精确估算功耗。了解对整体功耗有影响的设计因素和规范是设计师的任务,但是,高级功耗估算工具能够为设计者提供他们作适当折衷时所需的信息,这对设计师来说很有帮助。

    研究所有自动降低功耗的机会,在降耗的同时还不能影响时序或者增加面积。例如,在逻辑综合阶段,寄存器时钟门控能够被有效地使用, 但是这样做可能会对物理设计过程造成时序和信号完整性问题。一个替代的方法就是在物理设计阶段实现时钟门控,这一阶段已经能得到精确的时序和信号完整性信息。

    在物理设计阶段通过优化互连来减少高功耗节点的电容,从而节省功耗。一旦互连电容被减少,驱动这些更低电容负载的逻辑门可以有更小的尺寸或者被优化来产生更低的功耗。使用多阈值电压单元替代来减少泄漏功耗也能够在物理级得到有效实现。

不应该

    等到快要出带才开始担心功耗问题。如果这样,你可能会发现减少功耗的工作做得太少了,也太晚了。

    忽视任何一种消耗功率的因素。例如,当你试图减少开关功耗的时候,泄露功耗却可能是更值得重视的部分。过多的峰值功耗可能在片内和片外都造成大的噪声毛刺。

    相信减少电源电压或使用小几何尺寸的工艺将解决功耗问题。更低的电源电压减小了噪声裕量,并且减慢了电路运行速度,这使得难以达到时序收敛,甚至难以满足功能规格。在90纳米及以下工艺,会呈现更大的漏电流。

    指望一个“按钮式”的低功耗解决方案或方法。必须在设计过程中的所有阶段实现功耗管理——有时需要设计决策,有时更多的是自动化实现。

    认为具功耗敏感的设计和自动降耗是互斥的。如果在一个完整的功耗管理设计方法中将二者结合,这两种技术将有效地帮助你克服功耗难题。




时间:  2006-7-7 07:43
作者: qqqq

嵌入式系统内存管理方案研究






摘要:嵌入式系统的内存管理机制必须满足实时性和可靠性的要求。本文以开源的的操作系统RTEMS为例,介绍嵌入式系统中内存管理的要求、存在的问题以及解决的策略。

    关键词:嵌入式系统 内存管理 静态分配 动态分配

引言

    内存管理机制是嵌入式系统研究中的一个重点和难点的问题,它必须满足以下几个特性:

①实时性。从实时性的角度出发,要求内存分配过程要尽可能地快。因此,在嵌入式系统中,不可能采用通用操作系统的一些复杂而完善的内存分配策略,一般没有段页式的虚存管理机制;而是采用简单、快速的内存分配方案,其分配方案也因程序对实时性的要求而异。例如,VxWorks系统采用简单的“首次适应,立即聚合”方法;VRTX中采用多个固定尺寸存储块的binning方案。

②可靠性。嵌入式系统应用的环境千变万化,在有些特定情况下,对系统的可靠性要求极高,内存分配的请求必须得到满足,如果分配失败则可能会带来灾难性的后果。比如,飞机的燃油检测系统。在飞机飞行过程中,如果燃料发生泄漏,系统应该立即检测到,并发出相应的警报等待飞行员及时处理。如果因为内存分配失败而不能相应地操作,就可能发生机毁人亡的事故。

③高效性。内存分配要尽可能地减少浪费。不可能为了保证满足所有的内存分配请求而将内存配置得很大。一方面,嵌入式系统对成本的要求使得内存在其中只是一种很有限的资源;另一方面,即使不考虑成本的因素,系统硬件环境有限的空间和有限的板面积决定了可配置的内存容量是很有限的。

    针对以上三个约束条件,市场上主流的嵌入式操作系统,如VxWorks、嵌入式Linux等均提出了一套有效的解决方案;但是,这些系统只提供了应用开发的接口,其底层的实现方案不可见。本文以开源的嵌入式操作系统RTEMS为例,分析了嵌入式系统内存管理所面临的问题,研究了其底层实现的解决方案。一般的嵌入式系统中最基本的内存管理方案有两种——静态分配和动态分配。

1 静态分配与动态分配

    静态分配是指在编译或链接时将程序所需的内存空间分配好。采用这种分配方案的程序段,其大小一般在编译时就能够确定;而动态分配是指系统运行时根据需要动态地分配内存。这两种策略的选取一直是嵌入式系统设计中一个令人头痛的问题。

    一般的嵌入式系统都支持静态分配,因为像中断向量表、操作系统映像这类的程序段,其程序大小在编译和链接时是可以确定的。而是否支持动态分配主要基于两个方面的考虑:首先是实时性和可靠性的要求,其次是成本的要求。对于实时性和可靠性要求极高的系统(硬实时系统),不允许延时或者分配失效,必须采用静态内存分配,如航天器上的嵌入式系统多采用静态内存分配。除了基于成本的考虑外,用于汽车电子和工业自动化领域的一些系统也没有动态内存分配,比如WindRiver著名的OSEKWorks系统。然而,仅仅采用静态分配,使系统失去了灵活性。必须在设计阶段就预先知道所需要的内存并对之作出分配;必须在设计阶段就预先考虑到所有可能的情况,因为一旦出现没有考虑到的情况,正在运行的系统就无法处理。这样的分配方案必然导致很大的浪费。因为内存分配必须按照最坏情况进行最大的配置,而实际运行时很可能只使用其中的一小部分;而且在硬件平台不变的情况下,不可能灵活地为系统添加功能,从而使得系统的升级变得困难。

    虽然动态内存分配会导致响应和执行时间不确定、内存碎片等问题,但是它的实现机制灵活,给程序实现带来极大的方便,有的应用环境中动态内存分配甚至最必不可少的。比如,嵌入式系统中使用的网络协议栈,在特定的平台下,为了比较灵活地调整系统的功能,在系统中各个功能之间作出权衡,必须支持动态内存分配。例如,为了使系统能够及时地在支持的VLAN数和支持的路由条目数之间作出调整,或者为了使不同的版本支持不同的协议,类似于malloc和free这类的函数是必不可少的。

大多数的系统是硬实时和软实时综合。系统中的一部分任务有严格的时限要求,而另一部分只是要求完成得越快越好。按照RMS(Rate Monotonous Scheduling)理论,这样的系统必须采用抢先式任务调度;而在这样的系统中,就可以采用动态内存分配来满足部分对可靠性和实时性要求不高的任务。采用动态内存分配的最大好处就是给设计得很大的灵活性,可以方便地将原来运行于非嵌入式操作系统的程序移植到嵌入式系统中。

2 RTEMS内存管现机制

    RTEMS(The Rael Time Executive for Multi-processor Systems)是一个基于多处理器的,能够运行在不同处理器平台上的嵌入式操作系统。其应用领域十分广泛,包括航空航天设备(导弹、飞机控制系统),网络设备(路由器、交换 机),掌上设备(电子阅读器、PDA)等。针对不同领域应用的需求差异,该系统的内存管理提供了比较完善的机制。同其它常见的嵌入式系统一样,RTEMS不支持虚拟存储管理,不支持复杂的段页式的保护机制,而采用线性编址方式,即逻辑地址和物理地址一一对应的平面模式,同时支持静态和动态两种管理模式。在系统正常运行时,内存中的映像如图1所示。

    图1中假设内破大小为2MB。在特定的应用中,中断表和RTEMS的映像所占用的内存空间大小是个定值,采用静态的内存分配机制,在编译时就可以确定其大小。堆栈区和系统内存区则采取动态分配机制,在系统运行时可以根据需要自动调整其大小。

3 RTEMS动态内存管理

    RTEMS动态内存管理机制提供两种分区机制,Partition和Region。

    Partition分区管理用于固定大小内存块的分配,Region分区管理 用于可变大小内存块的分配。

3.1 固定长度分区管理

    RTEMS定义的固定长度的分区(Partition)是一段连续的内存空间。它可以被划分成固定长度的内存块(buffer),允许应用在创建分区时配置分区的大小和内存块的大小,要求分区的大小是内存块的整数倍。例如,应用创建一个大小为1024字节的分区,内存块为256字节,如图2所示。

    创建分区时,RTEMS根据分区和内存块的大小,形成一个空闲内存块的双向链表。当从分区中申请内存块时,按照空闲内存块链表的顺序分配。如果空闲空间不足,调用者不会被阻塞,而是获得一个空指针,以确保申请内存调用的时间确定性。释放内存块时,将该内存块挂在空闲内存块链表的链尾。分区被删除时将释放出这段连续的内存空间。

RTEMS的分区管理机制提供以下API:

rtems_partition_create 创建一个分区

rtems_partition_ident 获得分区标识号

rtems_partition_deldet1 删除一个分区

rtems_partition_get_buffer 申请一个内存块

rtems_partition_return_buffer 释放一个内存块

RTEMS的固定长度分区管理算法有以下特色:

①系统创建的分区数目可在运行时动态增减。

②内存块的控制结构所占用的内存空间在该内存块被分配出去时会变为可用空间,不会影响该内存块实际可用的大小;而在回收时控制块会自动生成,这一点使得分区管理的系统开销对用户的影响为零。

③在分区的内存块中还可以再定义分区,这就意味着内存块可以很容易地被分为子内存块,提高了分区管理的灵活性。

3.2 可变长度分区管理

    RTEMS定义的可变长度的分区(Region)是一段连续的、大小可配置的内存空间,可以被划分成很多大小不一的段(Segment)。创建分区时要指定一个分配单元,称为页。段的大小是页的倍数,如果应用程序在申请段时,给出的大小不是页的倍数,内核会将其调整为页的倍数。例如,应用从而大小为512个字节的分区中申请一个大小为700字节的段,那么,内核实际分配的段大小为1024字节。

    创建Region分区时,RTEMS根据分区大小和页小建立分区的控制结构和段的控制结构。在创建之初,只有一个空闲段,其大小为分区的大小减去控制结构的内存开销。随着应用申请、释放段的操作不断进行,分区中形成用双向链表链接起来的空闲段链。当从分区中分配段时,依据首次适应算法(即第一个满足要求的空闲段就作为分配结果)查看空闲段链中是否存在合适的段。当把段释放回分区时,该段被挂在空闲段链的链尾,并且如果空闲段链中有与此段相邻的段,则将其合并成一个更大的空闲段。RTEMS在段的控制块中设置一个标志位表示其被使用的情况。标志位为1表示该段正被使用,标志位为0表示该段空闲。图3是一个分区中具有两个空闲段和一个正被使用的段的示例。

空闲段和已经使用段的控制结构有所不同,如图4所示。

RTEMS的分区管理机制提供以下API:

rtems_region_create 创建一个分区

rtems_region_ident 获得分区的标识

rtems_region_delete 删除一个分区

rtems_region_extend 扩展一个分区

rtems_region_get_segment 申请一个段

rtems_region_return_segment 释放一个段

RTEMS的可变长度分区管理算法有以下特色:

①系统创建的分区数目可在运行时动态增加;

②段的控制结构在该段被分配出去后会减小,而在回收到控制快会自动恢复大小。这一点使得分区管理的系统开销降低到最小;

③时应用程序发现一个分区的内存空间不够使用时,可以调用retms_region_extend函数(API)扩展该分区的大小。

④当程序要求从某个分区获取分段而未成功时,可以立即返回,也可以采取多种等待策略。等待策略包括优先级等待、FIFO等待。在FIFO等待策略中又可分为有限等待和无限等待。

在动态可变长度内存管理的基础上,RTEMS还提供了Malloc/free等标准的C函数。在使用Malloc/free等函数时应注意以下几个方面的限制:

①因为内存分区是一种临界资源,由信号量保护,使用Malloc会导致当前调用挂起,因此它不能用于中断服务程序。

②因为进行内存分配需要执行查找算法,其执行时间与系统当前的内存使用情况相关,具有不确定性,因此对于有规定时限的操作是不适宜的。

③由于采用简单的首次适应算法,容易导致系统中存在大量的内存碎片,降低内存使用效率和系统性能。

4 结论

    为了使嵌入式系统能够在不同的平台上进行移植,使之使用与各种应用环境,嵌入式系统内存管理方案在充分考虑实时性、可靠性和高效性的基础上,应该提供比较丰富的管理机制。本文分析了嵌入式系统中内存管理的要求、存在的问题,介绍了静态和动态两种分配策略;以开源的RTEMS系统为例,介绍了嵌入式系统中常用的内存管理方法,并对各种方法的特点进行了阐述,在实际应用时,可根据需求作出相应的选择。






时间:  2006-7-7 07:43
作者: qqqq

10A/500V MCT器件的研制

  
摘 要:本文叙述了新型功率器件MCT(MOS控制晶闸管)的结构设计、工艺流程及实施方法。西安微 电子研究所采用扩散、硅栅自对准工艺和多层介质隔离等技术制成MCT样品。测试结果表明, 其在20V的栅压下能在4 s内关断50A/cm2的阴极电流。
关键词:MCT ;设计 ;试制

引言

  近年来,随着微电子技术的不断进步和市场需求的日益增长,电力电子技术领域有了很大的发展,其重要标志就是研究开发了各种新型的功率器件,如功率MOSFET、SIT、SITH、IGBT和MCT等,其中MCT是目前受同行专家十分看好的一种功率半导体器件。

  MCT是MOS控制晶闸管(MOS-Controlled Thyristor)的简称,是一种新型MOS与双极复合型器件。它采用集成电路工艺,在普通晶闸管结构中制作大量MOS器件,通过MOS器件的通断来控制晶闸管的开通与关断。MCT既具有晶闸管良好的阻断和通态特性,又具备MOS场效应管输入阻抗高、驱动功率低和开关速度快的优点,同时它还克服了晶闸管速度慢、不能自关断和高压MOS场效应管导通压降大的缺点。这就是MCT为什么被认为是目前众多的新型功率器件中很有发展前途的器件的原因。

  MCT器件自上世纪八十年代末期产生,到现在不过十余年,然而MCT在国外(尤其是美国、德国和瑞士等)已经发展到了很高水平。MCT器件的最大可关断电流已经达到了300A,最高阻断电压为3000V,可关断电流密度为325A/cm2,且已试制出由12个MCT并联组成的模块。MCT的应用也达到了相当高的水平,如美国西屋公司报道了他们采用MCT开发10kW高频串并联谐振DC-DC变流器的研究情况,已得到了令人瞩目的功率密度(6.1W/cm3)和高可靠的运行。据报道,美国正计划采用MCT组成功率变流设备,建设高达500kV的高压直流输电HVDC设备。它的应用正在全面展开,实用化为期不远。

  国内在研制、生产和应用MCT器件方面的水平远不及世界上先进水平的国家。目前,东南大学采用SDB键合特殊工艺在实验室制成了100mA/100V MCT样品;西安电力电子技术研究所利用国外进口厚外延硅片也试制出9A/300V MCT 样品。但在MCT的试制过程中,仍然存在一些实际问题,如东南大学所采用的SDB键合工艺在国内集成电路工艺线上很难实现,而西安电力电子技术研究所试制MCT所采用的硅片为进口厚外延片,可这种较厚的处延层硅片材料在国内尚无厂家生产。因此,采用进口材料,沿袭国外工艺使我国试制MCT仍存在很大困难。

  基于上述分析,MCT的试制生产在国内仍存在相当的局限性,这必然要影响MCT器件的开发和应用。在国内现有的工艺条件下试制MCT器件的工艺方法和条件,这样才更有利于MCT器件在我国的发展,而本文就是想在这一方面作些尝试,文中叙述了研制10A/500V MCT器件的设计、工艺及测试结果。

工作原理

  根据MCT开通MOS管沟道的型号,其结构基本上分为两类,P-MCT(如图1所示)和N-MCT(如图2所示),它们的等效电路分别如图3和图4所示。

  P-MCT和N-MCT的工作原理相同,但P-MCT比N- MCT具有关断电流更大、关断时间更短的优点,所以本次实验采用P-MCT结构。其工作原理如下:

  当门极相对阳极加负脉冲电压时,ON-FET导通,其漏极电流使NPN晶体管导通,由于两个晶体管间的正反馈作用,最后使MCT导通。当门极相对于阳极加正的脉冲电压时,OFF-MCT导通,将PNP管的发射结旁路,使PNP关断,破坏了SCR的擎住条件,迫使MCT关断。

图1 P-MCT单胞结构剖面图(略)
图2 N-MCT单胞结构剖面图(略)
图3 P-MCT等效电路图(略)
图4 N-MCT等效电路图(略)

  MCT和普通晶闸管一样具有很高的阻断电压和很低的导通压降,但两者的开关机理却有很大的不同。MCT无论开或关,都是在整个芯片上同时进行的,而普通晶闸管的开启是靠等离子区的扩展来实现的,所以MCT具有较高的开关速度。

主要电学参数的设计考虑

阻断电压UBR

  由图1可见P-MCT中承受正反向电压的分别是J2结和J3结,且阳极具有很高的短路点密度,晶闸管的正反向阻断电压均可以近似按宽基区NPN晶体管结构进行估算。根据晶体管理论其击穿电压为:
V_{BR}=V_B(1-{a_{1}})^{1/n}

  其中a_1是NPN晶体管的电流放大系数,n是工艺因子,一般取值为4。VBR是NPN晶体管的集射间的击穿电压。VB是单边突变结的击穿电压,也可表达成100 n0.75。VBR由a_1决定,而a_1主要是由基区输运系数决定,

a_1≈1∕{[cos(w_e(p)/L_n)]}
式中We(p)为额定电压下有效基区宽度,Ln为基区少子电子的扩散长度。

  要特别注意的是 n 是指N型材料的电阻率,现已采用P型衬底材料,则此表达式就应该作些修正,为了保证N型材料和P型材料能承受相同的耐压,就必须使N型材料的浓度和P型材料的浓度相等,且
V_B=100[ rac{ _P}{ _N} _{P}]^{0.75}
其中 n, p分别为电子和空穴的迁移率。

  依据上述公式,同时结合西安微电子研究所的工艺条件,确定500V P-MCT所需求的基区宽度、衬底材料的电阻率和基区少子的寿命分别为230 m、40 ·cm和8 s,且所选的材料必需是(100)晶面,这样做是考虑到(100)面上的Si-SiO2的界面态密度较小。

单胞结构尺寸和终端结构

  MCT的单胞形状可以是正方形、六角形和圆形等。对于高压器件,还应该考虑单胞的角曲率,但只要结终端技术设计合理,就几乎可以消除单胞形状对耐压的影响。单胞图形的最小尺寸通常是由工艺条件决定的,综合上述分析,同时参考有关资料,为简化起见,本文可设计成正方形的单胞,其尺寸如图5所示。 由于设计的电流应为10A,经过计算和分析,决定MCT管芯直径为11mm,且MCT结终端结构采用斜角造型技术,其中正斜角为23 ~25 ,负斜角为3 ~5 。

图5 P-MCT单胞结构尺寸(略)
图6 MCT器件的正向阻断特性(略)
图7 MCT器件的反向阻断特性(略)

工艺流程设计

  结合西安微电子研究所的工艺条件,试制图1所示MCT器件的主要工艺流程如下:
  硅单晶材料(即P型(100))的选取→N发射极氧化→去除未抛光面上的SiO2 层→阴极 N发射区扩散→去除SiO2 层→ N区氧化→一次光刻→N区扩散→去除SiO2层→P发射极氧化→二次光刻→阳极P发射极扩散→去除SiO2→栅氧化→生长多晶硅层→刻蚀多晶硅和栅氧化层→ P阱的扩散→N短接和多晶硅掺杂扩散→光刻挖槽→SiO2和Si3N 4 隔离绝缘介质薄膜的形成→光刻SiO2和Si3N4介质膜→光刻阳极区多晶硅和→SiO2 层→电子束蒸铝→反刻铝膜→铝膜合金化→阴极面蒸金且合金化→超声割图→钼片镀镍与退火→烧结→磨角、付蚀、保护和固化→初测。

实验结果

  由图6和7可见,在室温下,正向阻断电压可达500V,正向漏电流为0.01mA。从图8知,MCT的开通时间仅为200~300nS 。从图9知,MCT的关断时间大约为4 S,其关断时间较长的主要原因是所选用的P型长基区内的少子寿命较长的缘故。

结束语

  通过比较国外各种MCT器件的结构、原理及其内在规律,吸收国外先进经验,并结合西安微电子研究所已有工艺成功地研制出10A/500V MCT芯片,同时表明上述介绍的有关MCT的设计方法在我国现有条件下是切实可行的。  




时间:  2006-7-7 07:44
作者: qqqq

MAX121应用于高速串行接口电路


  
摘  要: 本文介绍了MAXIM公司系列芯片MAX121的工作性能与特点,具体给出了MAX121芯片在数字信号处理集成电路(TMS320)高速串行接口电路的具体应用。
关键词: MAX121芯片;数字信号处理;串行接口

MAX121芯片的性能与特点
  MAX121芯片是一个带串行接口的14位模数转换器(ADC),含有跟踪/保持电路的一个低漂移、低噪声、掩埋式齐纳电压基准电源,它转换速度快、功耗低,采样速率高达308Ksps,满量程输入电压范围为(5V,功耗为210mW。可与大多数流行的数字信号处理器(DSP)的串行接口直接接口,该输入可以接收TTL或CMOS的信号电平,时钟频率为0.1MHz~5.5MHz。

 MAX121芯片的功能方框图如图1所示。它有16脚和20脚模式,16脚有DIP和SO封装,20脚有SSOP封装。特点如下:14位分辨率;2.9(s转换时间/308Ksps吞吐率;400ns采样时间;低噪声和低失真:78Db SINAD  -85Db THD;(5V双极性输入范围,可时承受(15V的过电压;210mW功耗;可用连续转换模式;30ppm/℃,-5V内部基准源;与DSP接口;16脚DIP和SO封装,20脚SSOP封装。

  极限参数:VDD对DGND 0.3(+6V;VSS对DGND +0.3(-7V;AIN对AGND (15V;AGND对DGND (0.3V数字输入对DGND(CS,CONVST,MODE,CLKIN,INVCLK,INVFRM);-0.3(Vdd+0.3V);数字输出对DGND(SFRM,FSTRT,SCLK,SDATA)+0.3(Vdd+0.3V);连续功耗(TA=+70(C)
强度超出上述极限参数可能导致器件的永久性损坏。这些仅仅是极限参数,并不意味着在极限参数条件下,或在任何其它超出技术规范规定的工作条件下,器件能有效地工作。延长在极限参数条件下的运行时间,会影响器件的可靠性。

工作电路
  MAX121运用逐次比较技术和跟踪/保持(T/H)电路,将模拟信号转换为14位串行数据输出码。其控制逻辑接口很容易与大多数微处理器(mP)和DSP相连接,在大多数应用中只需要很少几个无源元件。T/H电路不需要外接电容。

模拟输入跟踪与保持
  根据等效输入电路分析,给出ADC的模拟比较器的采样结构。内部缓冲器给保持电容充电,以减小转换期间所要求的采集时间。模拟输入端呈现6kΩ输入电阻及与其并联的10pF电容。

  在两次转换之间,缓冲器输入通过输入电阻连接到AIN端。当转换开始时,缓冲器与AIN端断开,对输入信号采样。在转换结束时,缓冲器输入端又连接到AIN端,而且保持电容跟踪输入电压。无论何时,只要转换没有进行,T/H就处于跟踪模式。在转换开始以后,保持模式启动时间接近10ns(窗口延迟)。从一次转换到下一次转换延迟变化的典型时间为30ps(窗口抖动)。

电路时钟频率

  MAX121工作时需要一个与TTL、CMOS电平兼容的时钟,时钟频率的范围从0.1MHz~5.5MHz。为满足2个时钟周期400ns采集时间的要求,最大时钟频率限制在5MHz。由于内部T/H电压下降速率的限制,所有模式的时钟频率不应低于0.1MHz。

输出数据格式

  转换结果以16位串行数据流输出,前14位为数据位(首先为MSB),后2位为零。输出数据为二进制补码形式。在CLKIN的上升沿,数据在SDATA端同步输出。

  输出数据可用FSTRT或者SFRM输出来分帧。FSTRT(平常为低)低于MSB1个时钟周期变为高。FSTRT的下降沿SDATA端输出MSB。

  SFRM输出(当INVFRM=VDD时通常为高)变为低的同时,MSB出现在SDATA端。16个时钟周期以后 SFRM变为高电平。通过输入接到数字地,可反转SFRM的极性。要求每次转换最少为18个时钟周期,以获得有效SFRM输出。

  如果有几个器件共用串行总线,可以参看图2的数据存取和数据保持时序。

TMS320高速串行接口 上电后的初始化

  如果满足下列条件,上电后MAX121的首次转换将是有效的。提供16个时钟脉冲使T/H进入跟踪模式,再加上跟踪模式中数据采集的最小时间400ns。确保基准源已经稳定。每一基准源旁路电容的稳定时间是0.5ms(对22mF电容为11ms)。

串行时钟最大速率

  与数字信号处理器接口时,MAX121的串行时钟最大速率取决于处理器的串行数据输入所要求的最小建立时间和ADC时钟与数据的最大延迟时间。MAX121有两种方法将数据读入处理器。CLKIN是MAX121的输入时钟,而SCLK是使数据移位进入处理器的串行时钟,支持异步数据传输的场合。tSU是处理器串行数据输入端所要求的数据建立最小时间;tCD是MAX121的CLKIN到SCLK最大延时时间;tSC是MAX121的SCLK到SDATA的最大延时时间。MAX121的SCLK输出驱动处理器的串行接口,此时可从以上议程中去掉tCD项,并允许使用更快的时钟频率。在这种情况下,串行时钟最大速度由下式计算:fCLKIN=1/2(tSU+tSC)

高速数据串行接口

  MAX121的灵活性使它可以与德州仪器公司TMS320的各种串行接口连接。高速接口可使MAX121/TM320系统获得最大的数据吞吐率,此时MAX121工作在最快时钟。图3示出了完成这种接口所需的连线,图4为接口的时序图。

  MAX121的CLKIN由外部时钟振荡器驱动。TMS320的XF0 I/O口驱动MAX121输入端为低,启动一次转换。TMS320的CLKR(接收时钟)端配置为输入,并由 MAX121的SCLK输出端驱动。MAX121的SDATA输出端数据在时钟的上升沿改变状态,而在时钟下降沿,数据被锁存到TMS320的DR输入端。这样可提供1/2个时钟周期,以满足TMS320DR输入端所需要的数据建立和保持时间。MAX121SCLK和SDATA之间的最大时滞在+25时为65ns,所以1/2个时钟周期足以满足要求的建立和保持时间。

  MAX121的FSTRT输出驱动TMS320的FSR输入,以对数据分帧。FSTRT输出的下降沿指示MSB已准备好,可被锁存。在下一个时钟下降沿,MSB被锁存在TMS320。使用这种接口,TMS320可接收16位字(在TMS320串行口整体控制寄存器中,RLEN=01),于是14位数据被时钟同步移入DSP,同时跟随两位尾随的0。

结 语

  近几年来,随着便携式、手提式电子产品的日益增多,MAXIM系列产品的应用也越来越广泛,本文详细介绍了MAX121芯片在数字信号处理高速串行接口电路方面的应用。同时MAX121集成电路也在语言识别与合成、DSP伺服合成、频谱分析等领域都有广泛的应用。  




时间:  2006-7-7 07:44
作者: qqqq

环境与静电对集成电路封装过程的影响

  
摘要:本文主要叙述了半导体集成电路在封装过程中,环境因素和静电因素对IC封装方面的影响,同时对封装工艺中提高封装成品率也作了一点探讨。
关键词:环境因素;静电防护;封装

引言

    现代发达国家经济发展的重要支柱之一--集成电路(以下称IC)产业发展十分迅速。自从1958年世界上第一块IC问世以来,特别是近20年来,几乎每隔2-3年就有一代产品问世,至目前,产品以由初期的小规模IC发展到当今的超大规模IC。IC设计、IC制造、IC封装和IC测试已成为微电子产业中相互独立又互相关联的四大产业。微电子已成为当今世界各项尖端技术和新兴产业发展的前导和基础。有了微电子技术的超前发展,便能够更有效地推动其它前沿技术的进步。随着IC的集成度和复杂性越来越高,污染控制、环境保护和静电防护技术就越盲膨响或制约微电子技术的发展。同时,随着我国国民经济的持续稳定增长和生产技术的不断创新发展,生产工艺对生产环境的要求越来越高。大规模和超大规模Ic生产中的前后道各工序对生产环境提出了更高要求,不仅仅要保持一定的温、湿度、洁净度,还需要对静电防护引起足够的重视。

2 环境因素对IC封装的影响

    在半导体IC生产中,封装形式由早期的金属封装或陶瓷封装逐渐向塑料封装方向发展。塑料封装业随着IC业快速发展而同步发展。据中国半导体信息网对我国国内28家重点IC制造业的IC总产量统计,2001年为44.12亿块,其中95%以上的IC产品都采用塑料封装形式。

    众所周知,封装业属于整个IC生产中的后道生产过程,在该过程中,对于塑封IC、混合IC或单片IC,主要有晶圆减薄(磨片)、晶圆切割(划片)、上芯(粘片)、压焊(键合)、封装(包封)、前固化、电镀、打印、后固化、切筋、装管、封后测试等等工序。各工序对不同的工艺环境都有不同的要求。工艺环境因素主要包括空气洁净度、高纯水、压缩空气、C02气、N:气、温度、湿度等等。

    对于减薄、划片、上芯、前固化、压焊、包封等工序原则上要求必须在超净厂房内设立,因在以上各工序中,IC内核--芯粒始终裸露在外,直到包封工序后,芯粒才被环氧树脂包裹起来。这样,包封以后不仅能对IC芯粒起着机械保护和引线向外电学连接的功能,而且对整个芯片的各种参数、性能及质量都起着根本的保持作用。在以上各工序中,哪个环节或因素不合要求都将造成芯粒的报废,所以说,净化区内工序对环境诸因素要求比较严格和苛刻。超净厂房的设计施工要严格按照国家标准GB50073-2001《洁净厂房设计规范》的内容进行。

2.1 空调系统中洁净度的影响

    对于净化空调系统来讲,空气调节区域的洁净度是最重要的技术参数之一。洁净厂房的洁净级别常以单位体积的空气中最大允许的颗粒数即粒子计数浓度来衡量。为了和国际标准尽快接轨,我国在根据IS014644-1的基础上制定了新的国家标准GB50073-2001《洁净厂房设计规范》,其中把洁净室的洁净度划分了9个级别,具体见表1所示。

    结合不同封装企业的净化区域面积的大小不一,再加之由于尘粒在各工序分布的不均匀性和随机性,如何针对不同情况来确定合适恰当的采集测试点和频次,使洁净区域内洁净度控制工作既有可行性,又具有经济性,进而避免偶然性,各封装企业可依据国家行业标准JGJ71-91《洁净室施工及验收规范》中的规定灵活掌握。具体可参照表2进行。

    由于微电子产品生产中,对环境中的尘粒含量和洁净度有严格的要求,目前,大规模IC生产要求控制0.1μm的尘粒达到1级甚至更严。所以对IC封装来说,净化区内的各工序的洁净度至少必须达到1级。

2.2超纯水的影响

    IC的生产,包括IC封装,大多数工序都需要超纯水进行清洗,晶圆及工件与水直接接触,在封装过程中的减薄工序和划片工序,更是离不开超纯水,一方面晶圆在减薄和划片过程中的硅粉杂质得到洗净,而另一方面纯水中的微量杂质又可能使芯粒再污染,这毫无疑问将对封装后的IC质量有着极大的影响。

    随着IC集成度的进一步提高,对水中污染物的要求也将更加严格。据美国提出的水质指标说明,集成度每提高一代,杂质都要减少1/2~1/10。表3所示为最新规定的对超纯水随半导体IC进展的不同要求。

    从表3可以看到,随着半导体IC设计规则从1.5~0.25μm的变化,相应地超纯水的水质除电阻率已接近理论极限值外,其TOC(总有机碳)、DO(溶解氧)、Si02、微粒和离子性杂质均减少2-4个数量级。

    在当前的水处理中,各项杂质处理的难易程度依次是TOC、SiO2、DO、电阻率,其中电阻率达到18MΩ·cm(25℃)是当前比较容易达到的。由于TOC含量高会使栅氧化膜尤其是薄栅氧化膜中缺陷密度增大,所以栅愈薄要求TOC愈低,况且现在IC技术的发展趋势中,芯片上栅膜越来越薄,故降低TOC是当前和今后的最大难点,因而已成为当今超纯水水质的象征和重心。据有关资料介绍,在美国芯片厂中,50%以上的成品率损失起因于化学杂质和微粒污染;在日本工厂中由于微粒污染引起器件电气特性的不良比例,已由2μm的70%上升到0.8μm超大规模IC的90%以上,可见IC线条宽度越细,其危害越突出。相应的在IC封装过程中超纯水的重要性就显而易见了。

    在半导体制造工艺中,大约有80%以上的工艺直接或间接与超纯水,并且大约有一半以上工序,硅片与水接触后,紧接着就进人高温过程,若此时水中含有杂质就会进入硅片而导致IC器件性能下降、成品率降低。确切一点说,向生产线提供稳定优质的超纯水将涉及到企业的成本问题。

2.3纯气的影响

    在IC的加工与制造封装中,高纯的气体可作为保护气、置换气、运载气、反应气等,为保证芯片加工与封装的成品率和可靠性,其中一个重要的环节,就是严格控制加工过程中所用气体的纯度。所谓"高纯"或"超纯"也不是无休止的要求纯而又纯,而是指把危害IC性能、成品率和可靠性的有害杂质及尘粒必须减少到一定值以下。表4列出了半导体大规模IC加工与制造中用的几种常用气体的纯度。

    例如在IC封装过程中,把待减薄的晶圆,划后待粘片的晶圆,粘片固化后待压焊的引线框架(LF)与芯粒放在高纯的氮气储藏柜中可有效地防止污染和氧化;把高纯的C02气体混合人高纯水中,可产生一定量的H+,这样的混合水具有一定的消除静电吸附作用,代划片工序使用可有效地去除划痕内和芯粒表面的硅粉杂质,以此来减少封装过程中的芯粒浪费。

2.4 温、湿度的影响

    温、湿度在IC的生产中扮演着相当重要的角色,几乎每个工序都与它们有密不可分的关系。GB50073-2001《洁净厂房设计规范》中明确强调了对洁净室温、湿度的要求要按生产工艺要求来确定,并按冬、夏季分别规定。见表5。

根据国家要求标准,也结合我厂IC塑封生产线的实际情况,特对相关工序确定了温、湿度控制的范围,运行数年来效果不错。控制情况见表6。

    但是,由于空调系统发生故障,在2001年12月18日9:30~9:40期间,粘片工序工作区域发生了一起湿度严重超标事故。当时相对湿度高达86.7%RH,而在正常情况下相对湿度为45~55%RH。

当时湿度异常时粘片现场状况描述如下:

    所有现场桌椅板凳、玻璃、设备、晶圆、芯片以及人身上的防静电服表面都有严重的水汽,玻璃上的水汽致使室内人看不清过道,用手触摸桌椅设备表面,都有很明显的手指水迹印痕。更为严重的是在粘片工序现场存放的芯片有许多,其中SOPl6L产品7088就在其列,对其成品率的影响见表7所示。所有这些产品中还包括其它系列产品,都象经过了一次"蒸汽
浴"一样。

    从下表可看出或说明以下问题:

    针对这批7088成品率由稳到不稳,再到严重下降这一现象,我们对粘片、压焊、塑封等工序在此批次产品加工期间的各种工艺参数,原材料等使用情况进行了详细汇总,没有发现异常情况,排除了工艺等方面的原因。

    事后进一步对废品率极高的18#、21#、25#、340、55#卡中不合格晶进行了超声波扫描,发现均有不同程度的离层,经解剖发现:从离层处发生裂痕、金丝断裂、部分芯片出现裂纹。最后得出结论如下:

(1)造成成品率下降的原因主要是封装离层处产生裂痕,导致芯片裂纹或金丝断裂。

(2)产生离层的原因是由于芯片表面水汽包封在塑封体内产生。

    由此可见,温、湿度对IC封装生产中的重大影响!

2.5其它因素的影响

    诸如压差因素、微振因素、噪声因素等对IC封装加工中都有一定的影响。鉴于篇幅所限,这里就不再逐一赘述。

3静电因素对IC封装的影响

    首先,静电产生的原因是随处可见的。

    在科技飞速发展和工业生产高度自动化的今天,静电在工业生产中的危害已是显而易见的,它可以造成各种障碍,限制自动化水平的提高和影响产品质量。这里结合我厂在集成电路封装、生产过程的实际情况来说明之所以有静电的产生,主要有以下几个方面的原因。

3.1 生产车间建筑装修材料多采用高阻材料

    IC生产工艺要求使用洁净车间或超净车间。要求除尘微粒粒径从以往的0.3μm变到0.1μm拟下,尘粒密度约为353个/m3。为此,除了安装各吸尘设备之外,还要采用无机和有机不发尘材料,以防起尘。但对于建材的电性能没有作为一项指标考虑进去。工业企业洁净厂房设计规范中也未作规定。IC工厂的洁净厂房主要采用的室内装修材料有:聚氨酯弹性地面、尼纶、硬塑料、聚乙烯、塑料壁纸、树脂、木材、白瓷板、瓷漆、石膏等等。上述材料中,大部分是高分子化合物或绝缘体。例如,有机玻璃体电阻率为1012~1014Ω·cm,聚乙烯体电阻率为1013~1015n·cm,因而导电性能比较差,某种原因产生静电不容易通过
它们向大地泄漏,从而造成静电的积聚。

3.2人体静电

    洁净厂房操作人员的不同动作和来回走动,鞋底和地面不断的紧密接触和分离,人体各部分也有活动和磨擦,不论是快走、慢走,小跑都会产生静电,即所谓步行带电;人体活动后起立,人体穿的工作服与椅子面接触后又分离也会产生静电。人体的静电电压如果消不掉,而去接触IC芯片,就可能在不知不觉中造成IC的击穿。

3.3 空气调节和空气净化引起的静电

    由于IC生产要求在45-55%RH的条件下进行,所以要实行空气调节,同时要进行空气净化。降湿的空气要经过初效过滤器、中效过滤器、高效过滤器和风管送人洁净室。一般总风管风速为8~10m/s,风管内壁涂油漆,当干燥的空气和风管,干燥的空气和过滤器作相对运动时,都会产生静电。应该引起注意的是静电与湿度有着较敏感的关系。

    另外,运送半成品和IC成品在包装运输过程中都会产生静电,这都是静电起电的因素之一。

    其次,静电对IC的危害是相当大的。

    一般来说,静电具有高电位、强电场的特点,在静电起电-放电过程中,有时会形成瞬态大电流放电和电磁脉冲(EMP),产生频谱很宽的电磁辐射场。另外,与常规电能量相比,静电能量比较小,在自然起电-放电过程中,静电放电(ESD)参数是不可控制的,是一种难于重复的随机过程,因此它的作用往往被人们所忽视。尤其在微电子技术领域,它给我们造成的危害却是惊人的,据报道每年因静电造成直接经济损失高达几亿元人民币,静电危害以成为发展微电子工业的重大障碍。

在半导体器件生产车间,由于尘埃吸附在芯片上,IC尤其是超大规模集成电路(VLSI)的成品率会大大下降。

    IC生产车间操作人员都穿洁净工作服,若人体带静电,则极易吸附尘埃、污物等,若这些尘埃、污物被带到操作现场的话,将影响产品质量,恶化产品性能、大大降低Ic成品率。如果吸附的灰尘粒子的半径大于100μm线条宽度约100μm时,薄膜厚度在50μm下时,则最易使产品报废。

    再次,静电对IC的损害具有一定的特点。

(1)隐蔽性

    除非发生静电放电,人体不能直接感知静电,但发生静电放电人体也不一定能有电击的感觉,这是因为人体感知的静电放电电压为2~3kv,所以静电具有隐蔽性。

(2)潜在性

    有些汇受到静电损伤后的性能没有明显的下降,但多次累加放电会给IC器件造成内伤而形成隐患。因此静电对IC的损伤具有潜在性。

(3)随机性

    IC什么情况下会遭受静电破坏呢?可以这么说,从一个IC芯片产生以后一直到它损坏以前,所有的过程都受到静电的威胁,而这些静电的产生也具有随机性,其损坏也具有随机性。

(4)复杂性

    静电放电损伤的失效分析工作,因微电子IC产品的精、细、微小的结构特点而费时、费事、费财,要求较高的技术并往往需要使用高度精密仪器,即使如此,有些静电损伤现象也难以与其它原因造成的损伤加以区别;使人误把静电放电损伤的失效当作其它失效,这在对静电放电损害未充分认识之前,常常归因于早期失效或情况不明的失效,从而不自觉地掩盖了失效的真正原因。所以分析静电对IC的损伤具有复杂性。

    总而言之,在IC的加工生产和封装过程中建立起静电防护系统是很有必要的!

    IC封装生产线对静电的要求更为严格。为了保证生产线的正常运行,对其洁净厂房进行防静电建筑材料的整体装修,对进出洁净厂房的所有人员配备防静电服装等采取硬件措施外,封装企业可根据国家有关标准和本企业的实际隋况制定出在防静电方面的企业标准或具体要求,来配合IC封装生产线的正常运转。随着我国IC封装线的扩建、封装能力的逐年提高、封装品种的增加以及对产品质量和成品率的更高要求,相应地对各种软、硬件要求和对全体从业人员的静电防护意识的加强就显得更为重要,而这也正扮演和充当着影响我们产品质量的"主要角色"和"无形杀手"。所以说,静电防护将是目前和今后摆在我们整个IC行业的一大课题。

4结束语

    综上所述,环境诸多因素和静电因素始终对IC的封装加工过程起着很重要的作用,这也是IC的发展趋势和封装加工过程的固有特性所决定的,微电子半导体IC的超前发展,就势必要求我们在环境与静电方面紧紧跟上IC的发展,使之不要成为制约IC封装加工发展的障碍和"绊脚石"。本文也正是出于这样的考虑来进行抛砖引玉的。  




时间:  2006-7-7 07:44
作者: qqqq


语意识别与智能机器人设计 .

  
    摘要:语音识别技术在智能设计方面的应用广泛。AP7003型IC是一款性能优良和价格低廉的语音识别电路,文中介绍它的基本电路及其在机器人设计中的一些应用友及主意识别系统的基本原理,为智能机器人的设计提供了一种思路。
    关键词:AP7003 语音识别 智能机器人 目标词
    语音识别技术以语言为研究对象,涉及生理学、语言学、计算机及信号处理等多个领域,是语言信号处理的一个重要研究方向,在智能控制、多媒体、人机对话等方面有着极其广泛的应用前景。
    1 语音识别技术
    语音识别技术首先要提取语音特征参量,也就是从语言信号中提取语音识别的有用信息。语音特征参量的提取要考虑单词选定位置的基音、鼻辅音的频谱、元音的频谱、擦音的频谱等要素。语音识别技术也必须有模式匹配及模型训练技术,其中主要包括动态时间规整技术、HMM模型和人工神经网络。时间规整是把一个单词内的时变特征变为一致的过程,在时间规整过程中,作为单词的时间轴要不均匀的扭曲或弯曲,使其行征为模型特征对正,该技术是一种有力的矫正措施,对提高系统的识别精度极为有效。人工神经网络是一个自适应非线性动力学系统,模拟人体大脑活动的基本原理,具有学习、技艺、判断、对比和概括等能力。另外,语音识别单元的选取也是语音识别的重要一步,语言识别单元有单词、音节和音素。对于汉语而言,主要选取音节单元,因为汉语是单音节结构的语言,而英语是多音节的语言,汉语约有400个音节,数量相对较少,便于识别。
点击此处查看全部新闻图片
    2 AP7003型语音识别电路
    AP7003是一款低成本语音识别专用集成电路,内置麦克风放大器、A/D转换器、语音处理器和I/O控制器,经预处理后可识别12组不同的字词,每组1.5s时长,可连词或单词识别。可广泛应用于玩具、识别转控和自动答录等领域。
    AP7003的功能框图如图1所示。表1给出AP7003的引脚功能。
表1 AP7003的引脚功能
引脚名称 功    能
GNDD 数字地
LED1 低电平存放LED驱动脚,能设计成语音输入指示,适合于标准应用
DLED2 低电平存放LED驱动脚,在标准应用线路中设计成语音输入验证结果的标志
DPOB1-POB4 输出口,作为键盘扫描用,标准应用
DPIT1-PIT4 低电平有效输入口,内部有上拉电阻器,能设计成输入口或键盘的输入标准应用
DVDDD 数字电源VDD
DCAPV 去耦电容器,电容器必须接在此引脚到地之间,用于内部的电压基准
DOSCI 频率振荡器控制脚,接一个56k电阻器到地
DPIM1PIM2 普通输入脚,能设计成模式控制输入,用于标准电路
DTEST 测试引脚,用于生产测试
DPOSL 输出模式选择,用于输出A口,若ProtA是高电平有效,则以POSL接高电平;若低电平有效则接地
GNDA 模拟地
TREF 语音输入阀电压控制
A2OUT 输出第二放大器
AIIN 第一(前级)放大器反相输入
A1OUT 前级放大输出
MICP 麦克风的正电源脚
VDDA 模拟电路正电源
POA-POA12 输出口
PORES 高电平有效输入,清除输出口A状态
     AP7003的主要特征如下:
·内置麦克风放大器
·内置A/D转换器
·采用DIP40双列直插式封装
·能识别12组1.5s时长字词
·I/O:2个普通输入,4个触发输入,2个输出口分别有4个、12个输出,2个LED驱动
    AP7003具有二种工作模式,即录音模式和识别模式,在进入识别模式之前,应先将目标词录入电路内。在AP7003内有12个存贮体用于存贮12组不同的字句,每个存贮体可存贮1.5s时长的字句,可通过键盘或按程序编制的顺序选择存贮体来录入及存放目标词。语音可通过外部麦克风或其他媒体录入电路内,经内部处理后以不同的数字特征信号保存在存贮体中。

    目标词录入电路内后即可将工作模式转换到识别模式,工作时电路将当前语音与事先录入存贮体的目标词语音相比较,如果语音特征相匹配,则在电路的相应输出端输出高电平或低电平。
    3 声控机器人设计
    语音识别电路广泛应用在智能控制中,把这种电路应用在智能机器人设计中,使机器人初步具有与人对话的能力,且机器人的操作具有普遍性,极大地提高了操作者的兴趣,图2是机器人电路的主要原理框图。
    图2中按键的定义如表2所示。上电后12个存贮器在录入目标词之前必须清空,开始录入目标词时使LED1有效,录入后电路将进入识别模式。
表2 按键的定义
Key NO. 12Key (PIM1=1)
1 Store Word1
2 Store Word2
3 Stroe Word3
4 Store Word4
5 Store Word5
6 Store Word6
7 Sotre Word7
8 Store Word8
9 Set R_Leve1
10 Set Level2
11 Clear Output
12 Shut Down
PIT4 Clesr Word
    如果由麦克风录入的单词与存储器原有单词相匹配,对应的POA输出口有效,并驱动断电器器,电机运动。在本设计中,机器人主要有“前进”(J1)、“后退”(J2)、“左转”(J3)、“右转”(J4)、“举起”(J5)、“放下”(J6)、“停止”等几种动作。其中,“停止”定义为clearOutput,其他依次定义为POA3、POA4、POA5、POA6、POA7和POA8。以“前进”(J1)和“后退”(J2)为例,如图3所示。

    当“停止”时,清除POA所有状态,机器人停止。
    当然,语音识别电路对外部麦克风(MIC)的分贝值有一定的要求,经验表明在本语音识别电路中选用56dB的麦克风较好。另外,AP7003的工作电压为2.4~4.5V,如果您的应用系统是5V工作电压,那么,通过一个二极管给AP7003供电,可以保证系统能长期稳定、可靠的工作,也有利于降低系统功耗。
    4 结束语
    在实际应用的过程中,麦克风的分贝值、Set R_Level匹配度的设置对于语音识别的效果影响较大。如果这二个参数设置合理,该电路可具有较高的识别率,一般可达80%以上,不失为一种效好的语音识别电路。

时间:  2006-7-7 07:45
作者: qqqq


基于LPC2104型CPU的汽车行使记录仪
  

  
    摘要:介绍基于LPC2104型CPU的汽车行驶记录仪。该记录仪采用大容量闪速存储器作为存储载体,利用定时器中断方式来实现秒间隔的数据采集与存储,用利串行口中断方式实现与微机的数据通信。
    关键词:汽车行驶记录仪;LPC2104;闪速存储器
    引言
    随着汽车拥有量的增加,发生交通事故的概率也随之增加,发生事故后用传统的方法进行分析、判断、维修有一定的困难。这样,就给人们提出了一个问题,怎样及时、准备地分析故障的存在,客观地分析事故的责任。由此联系到飞机上装的“黑匣子”,一旦飞机失事,“黑匣子”里的资料是判断失事原因的重要依据。因为它的造价高、技术复杂,在普通汽车上使用有一定的难。本文介绍一种价格低,功能较齐全且能扩展的汽车行驶记录仪。
    本记录仪采用带ARM7内核的LPC2104型CPU作为主控机,大容量闪速存储器作为存储载体,带有精确的时钟电路,利用高精度A/D转换器采集汽车传感器转换的模拟量,并直接记录汽车信号灯等的各种开关量。同时利用串口实现与微机的数据通信,把汽车行驶记录低度的信息以二进制文件的形式存储到硬盘上。
    1 系统的硬件设计

    系统的总体框架如图1所示。带ARM7内核的LPC2104型CPU是汽车行驶记录仪的核心,整个系统由信息采集模块、信息处理及存储模块和串口通信模块组成。
    LPC2104是Philips公司生产的一款支持实时仿真和跟踪的ARM7TDMI-S CPU,内部集成有与片内存储器控制器接口的ARM7局部总线、与中断控制器接口的AMBA高性能总线(AHB)和连接片内外设功能的VLSI外设总线(VPB,ARM,AMBA总线的兼容超大规模集成电路),并嵌入了128KB高速Flash存储器。LPC2104将ARM7TDMI-S配置为小端(Iittle-endian)字节顺序,具有封装小、功耗低、多个32位定时器、多种总线接口及多达9个外部中断等优点,非常适合作为汽车行驶记录仪的主控CPU。同时,LPC2104还具有128位宽度的存储器接口和独特的加速结构,从而使得32位代码能够在最大时钟频率下运行。
     2 信息采集模块设计

    汽车行驶记录仪要求实时记录车辆行驶的各种状态信息,因此本系统将信息采集模块分为开关量数据采集模块、模拟量数据采集模块、时钟数据采集模块。
    2.1 开关量数据采集模块
    该模块利用74LS165型并行输入8位移位寄存器扩展16位并行输入口,其硬件电路如图2所示。其中利用LPC2104的3根I/O口线扩展为16位的输入口线,图中由2片74LS165串接扩展16位的输入线,CLK为时钟脉冲输入端,D0-D7为并行输入端,前级的数据输出端QH与后级的信号输入端SIN相连,S/L为低电平时,允许并行置入数据,S/L为高电平时允许串行移位。这样左右方向灯、前车灯、开门信号、刹车灯信号等16路开关量经过光电耦合器隔离后并行输入到2片74LS165中,再经74LS165转换后,串行输出给LPC2104进行处理。

    2.2 模拟量数据采集模块
    在进行模拟数据采集时,水温、水位等8路传感器采集的信号先经过模拟信号调理环节,将其整定在A/D转换器参考电压Vref决定的满量程输入电压信号以内,然后经过A/D转换器进行A/D转换。该模块采用美国德州仪器公司推出的TLC0838型8路8位逐次逼近型模、数转换器,采样频率为100kHz,与外部通信采用SPI总线接口。LPC2104通过其内嵌的SPI总线接口与TLC0838进行通信,其硬件电路如图3所示。其中SCK为SPI主机输入或从机输入的时钟,MOSI为SPI主机到从机的数据传输,MISO为SPI从机到主机的数据传输,SSEL为SPI从机选择。
    汽车速度的测量是通过计数速度传感器产生的脉冲信号实现的。本系统利用AT89C2051型单片机来计数速度脉冲信号。该单片机可设定一个守量器来计数速度脉冲信号,并将其转换成汽车的实际量程。同时根据行程和时间可计算汽车的速度,并通过串口UART0将数据传送给LPC2104。

    2.3 时钟数据采集模块
    为了记录行车的时间,使行驶记录仪能够实时记录汽车状态,采用专门的时钟模块提供时间信号,本系统选用DS12887时钟电路。DS12887是跨越2000年的时钟电路,采用4位数表示年度的日历系统。电路的晶体振荡器、振荡电路、充电电路和可充电锂电池等一起封装在芯片的止方,组成一个加厚的集成电路模块。DS12887能够自动存取并更新当前的时间,LPC2104可通过读DS12887的内部时标寄存器得到当前的时间和日历,也可通过选择二进 进码或BCD码初始化电路的10个时标寄存器。
    3 信息处理及存储模块设计

    由于Flash的存储结构适宜是固态存储,EPROM的存储结构适宜于动态存储,因此,本系统根据实际需要,有用Flash固态存储和EPROM动态存储相结合的方式进行数据存储和记忆,即用Flash固态存储采集的汽车状态数据,用EPROM动态存储Flash最新存储的扇区号和存储地址。闪速存储器选用美信公司生产的MX29F800BTC型,EPROM选用Microchip公司的24C32型,同时利用LPC2104内嵌的I2C总线接口与24C32进行通信。
    本系统工作时,首先由LPC2104主控CPU从24C32中读取Flash最近存储的扇区号和存储地址,然后以此地址为参照,以这个地址的一个地址值为Flash的起点地址,以这个地址的下一个地址值为Flash的起点地址,按顺序进行存储。Flash需要存储的数据有16路开关量信息、8路模拟量信息有及时钟信息。本系统利用二字节(共16位)存储16路开关量信息,利用8个字节的存储空间存储8路模拟量信息。为了节省存储空间,系统并非每次存储采集数据时都存储时间标志。由于数据采集以固定的秒间隔来进行,因此,系统只是在刚开始运行时和每个扇区的起始位置采集并存储时钟信号作为时间标记,而后面数据的采集时间则以这些时间标记为参照。
    系统存储的软件流程如图4所示。在本系统重装上电运行时,需要记录开始采集的时间信息。由于系统电源是由汽车电源提供的,所以开始采集的时间也就是汽车启动的时间,以此作为汽车开始行驶的时间标记。在程序运行中,由主程序设定一个汽车启动标志,在第一次写数据之前汽车启动标志有效,然后首先判断当前存储地址是否有某个扇区的首地址,如果是,则根据写扇区的要求在第七个字节开始处存储当前的时钟信号,否则,在当前存储地址处开始用10个字节存储当前的时钟信号,记录汽车开始运行的起始时间,然后清除汽车启动标志。扇区开始地址处记录的时间信息是否是汽车开始运行的起始时间,可根据前一个扇区记录的时间信息进行判断。若前后两个扇区记录的数据是连续的,则后一个扇区头记录的时间信息不是表示汽车开始运行的起始时间,否则情况相反。
    4 串口通信模块设计
    计算机可以通过串口随时读取行驶记录仪存储的数据。行驶记录仪与计算机之间的串口通信遵循RS232协议。串行通信时,LPC2104依次将Flash中存储的数据读出并经UART0串口发出,然后经过MAX232电平转换器送给计算机,再由计算机通过串口接收该数据。
图5
    5 结束语
    汽车行驶记录仪利用微机通过串口接收汽车行驶的数据,并对数据进行分析处理;利用Visual C++ 6.0作为开发工具,编写微机数据分析处理程序,模拟显示汽车运行时的状态;利用图形显示各分量的波形,如前向灯开关情况、左右方向灯开关情况、开门信息、刹车信号、水温变化情况(范围为0℃~100℃)等。将该行驶记录仪安装在汽车上进行测试,结果也较理想,能够满足设计要求。其测试结果如图5所示。

时间:  2006-7-7 07:45
作者: qqqq

怎样减少被动红外探测器误报漏报?




  目前报警系统出现误报、漏报主要有以下几个方面原因。无线探测器抗干扰能力表现为同频干扰容易造成误报、漏报。红外探测器对入侵行为判断力不够准确造成误报漏报。红外探测器易受温度、光线等环境因素影响而产生误报。无线探测器供电系统缺电,低电时没有有效地进行信息传递使得探测器的探测距离变短或是不工作而产生漏报误报。由于主机和探测器都是用无线编码方式设置遍码有重复造成主机和探测器重码导致误报。

    我们来分析一下红外探测报警器主要有那些原因会造成误报、漏报。目前报警系统出现误报、漏报主要有以下几个方面原因。无线探测器抗干扰能力羞表现为同频干扰容易造成误报、漏报。红外探测器对入侵行为判断力不够准确造成误报、漏报。红外探测器易受温度、光线等环境因素影响而产生误报。无线探测器供电系统缺电,低电时没有有效地进行信息传递使得探测器的探测距离变短或是不工作而产生误报、漏报。由于主机和探测器都是采用无线编码方式设置编码有重复造成主机和探测器重码导致误报。也有些报警器的质量太羞如元器件的损坏和生产工艺不良造成误报,还有跟选择的设备、安装的方式、角度、位置、也有关,比如选用的探测器是震动探测器安装在震源比较大而多的地方(如飞机场、铁路旁等)由于飞机、火车运行时震源大都会产生误报,如蝙蝠常出现的地方选用超声波探测器就容易引起误报,在受环境的影响下如空气流动、宠物行动等,还有人为的因素主要有用户操作不当、不小心触发报警器、误闯、误入已经设防的访区等都会产生误报。产生误报、漏报的原因很多也很复杂。因此要降低防盗报警器的误报、漏报最重要的是要从多方面的因素加以考虑,比如从技术和性能方面选择探测器、包括传感探头的选择、菲涅尔透镜的外形设计,微处理器程序,多鉴技术、自动跳码的滚动编码技术、生产工艺、使用方法,温度补偿,灵敏度探测距离调整等。这些综合因素都决定了探测器的性能和误报率。




时间:  2006-7-7 07:45
作者: qqqq


CH365型接口MCX314As型运动控制器PCI总线 .
 
  
    摘要:以CH365型PCI总线接口和MCX314As型运动控制器为核心硬件,自主研发了基于运动控制器的PCI总线运动控制卡。该控制卡能够实现4轴位置、速度和S曲线的加减速控制,具有直线、圆弧、位模式插补功能及自动原位搜寻功能,同时具有4路信号输入和8路通用输出。
    关键词:运动控制卡;PCI总线;MCX314As;CH365
1 引言
    随着现代科技的发展,数控技术的发展趋势是开放式数控。开放式数控系统具有即插即用、可移植性、可扩展性和可互操作性特点。PC—NC是目前比较实用的一种开放式数控系统模式,PC—NC可归纳为3种:PC板插入到NC装置中;软件NC;NC板插入到PC中。其中,第一种方案保留原有的数控系统不变,插入的PC板主要承担人一机界面、编程和通信等功能,用以提高系统开放性。此方案为目前主流数控系统厂商采用,可靠性较高但开放性有限。第二种方案的实时性对PC的CPU要求很高。第三种方案是在PC硬件平台和操作系统的基础上,采用自主开发或购买的运动控制卡来实现数控机床所需的全部功能。其中PC进行非实时处理,实时插补控制由运动控制卡来承担,采用这种方案设计的数控系统开放性好,人一机界面灵活,非常适合非标准数控机床的开发。
    文中给出了基于第三种方案的运动控制卡设计方案,采用CH365型PCI总线接口和MCX314As型运动控制器作为核心硬件,实现运动控制卡的4轴位置、速度、S曲线的加减速控制;直线、圆弧、位模式插补功能:自动原位搜寻功能以及4路信号输入和8路通用输出。与其他控制卡相比,其位模式插补功能、自动原位搜寻功能及电子齿轮设计最有特色。

2 PCI总线接口电路
    目前,计算机总线技术已由ISA总线发展到PCI总线,PCI的含义是周边元件互连(peripheral component interconnect)。PCI局部总线是一种高性能的32位/64位地址/数据复用总线,总线时钟频率高达33MHz/66MHz,同步控制,猝发传送时数据传递速率高达132MB/s(32位)或264MB/s(64位)[1]。
    实现PCI接口的方法有二种:使用复杂可编程逻辑器件或现场可编程门阵列(如CPLD/FPGA)和使用专用电路(如PCI9052和CH365等)。采用CPLD/FPGA实现PCI接口虽然灵活性高且性能较好,但其IP核的价格较高,而采用专用电路固然灵活性较差,但不影响其使用性能,尤其是可以利用器件生产厂商提供的配套工具,大大简化设计和缩短开发周期,从而降低开发成本。
     目前市场上有多种PCI接口电路.国外的如PLX公司的:PCI90系列,Altera公司的eplok,AMCC公司的S5933等,这些接口电路的性能好、适应对象较广,但价格较昂贵。南京沁恒公司推出一款CH365型PCI接口电路,该器件性能完全满足PCI接口规范,虽然频率较低(16MHz),但可满足设计需要且使用方便,价格低于国外产品,性价比高。经比较,本设计采用CH365作PCI接口器件。
CH365具有以下满足本运动控制卡设计的性能特点:
实现基于32位PCI总线的从设备接口:
转换为主动并行接口,8位数据,16位地址,I/O读写,存储器读和写:
可以设定PCI板卡的设备标识(Vendol ID、De-vice ID,Class Code等);
支持以字节、字或双字为单位对I/O端口或者存储器进行读写:
非突发存取的实测速度高达7MB/s,读写脉冲宽度为30ns~240ns可选,满足运动控制卡要求:
支持长度达240字节的I/O端口:
支持低电平有效的本地中断请求,支持中断共享:
内置4μs~1ms的硬件计时单元,用于软件运行过程中的延时参考[2]。
3 运动控制器
    目前传统的运动控制器多采用嵌入式高性能单片机(如MC6833l微控制器)或DSP(TMS320xxx或DSP56xxx)来实现,系统集成度不够高,电路复杂,且软、硬件研制周期长,性能一致性较难保证。采用专用运动控制器可以提高系统集成度、插补速度及可靠性,同时简化电路,缩短开发周期。
    目前市场上有多种运动控制器,如美国的PMD公司,日本的NOVA、KY()PAL、SEEK公司的产品。本设计采用日本NOVA公司的MCX314As,它是MCX314的改进型,能够同时控制4个伺服马达或步进马达,能以脉冲串形式输出,对伺服马达和步进马达进行位置控制、插补驱动速度控制等。具有许多突出功能。

(1)以固定速度或加/减速度输出指定数量的脉冲达到定量驱动;连续输出驱动脉冲,直至高位的停止命令或外部的停止信号有效时停止实现连续驱动。用于快速归零原点开关搜寻、扫描操作、控制马达旋转连续驱动速度等。
(2)设定各线段的加/减速曲线为定速、直线(梯形)加/减速或S曲线加/减速,使用S曲线加/减速可使运动更平滑,但降低了总速度。
(3)每个控制轴都有2个用于控制当前位置的32位可逆计数器,一个是在器件内部管理驱动脉冲输出的逻辑位置计算器,另一个是管理来自外部编码器脉冲的实际位置计数器;另外每个轴还有2个32位比较寄存器,用于比较逻辑位置计数器和实际位置计数器的位置大小,可作为软件限位控制。
(4)可以任选2轴或3轴,进行直线插补、圆弧插补、位模式插补驱动。插补坐标范围是从当前位置到一8,388,608~+8,388,608之间,在整个指定的直线插补范围内的插补精度是+0.5LSB.插补速度范围是1pps~4Mpps;位插补驱动可以产生任何插补曲线;同时可以进行直线插补→圆弧插补→直线插补的连续插补驱动,连续插补时最高达2Mpos。
(5)加/减速驱动的定速开始时、定速完毕时、驱动完毕时、位置计数器和比较器之间的大小关系变化时均会产生中断。此外,连续插补、位模式插补发生下一个数据请求时位置限制也会产生中断。
    其他功能主要有外部信号控制的驱动操作、脉冲输出/输入方式的选择、硬件限制信号输入、紧急停止、每轴具有8个通用输出信号和4个通用输入信号、驱动状态的输出[3]。
4 控制卡系统设计
    4.1控制卡硬件结构设计
    控制卡硬件结构如图1所示。PCI端的主要信号有地址、数据多路复用的输入/输出信号(AD[3l-O])、总线命令和字节使能多路复用信号(CBE[3-O])、奇偶校验信号(PAR)、帧周期信号(FRAME)、发起设备准备好信号(IRDY)、目标设备准备好信号(TRDY)、初始化设备选择信号(IDSEL)、设备选择信号(I)EVSEL)、时钟输入信号(CLK)、复位信号(RST)和中断信号(INTA)。这些信号完全符合:PCI规范的要求。

    控制卡本地端使用的信号主要有双向数据信号(D[7-0]),地址信号(A[14—0]),独立输出控制信号A15,I/O端口读/写控制信号(IOP_RD,IOP_WR)及中断信号(INTA)。CH365在I/O读写控制时只用到8根地址线A[7—0]。在本系统中,低4位地址信号A[3—0]作为MCX314As中寄存器的地址信号直接连到MCX314As,高4位A[7—4]作为译码电路的输入信号来产生MCX314As的片选信号,A[14—8]空闲不用,独立输出控制信号A15作为控制是否启用电子齿轮电路的选通开关信号。
    对于MCX314As,其RSq、端与CH365共用,该输入把:MCX314As的RESETN置为低电平且大于4周期以上时,执行复位。CS端为MCX314As的片选信号,由CPLD中的译码电路模块产生。H16L8端接地,设置电路处于8位数据总线访问方式,这是因为CH365的数据传输是8位。也正因为这样,本系统只用到MCX314As的16位数据总线D[15:0]中的D[7:0],而D[15:8]要经高电阻接至+5V。RD和WR分别为MCX314As的读、写信号线,当它们为低电平时,可以对MCX314As进行寄存器的读写操作。需要特别注意的是由于本系统采用8位数据传输,所以对于MCX314As中的16位寄存器分为高8位和低8位地址,读写操作必须访问2次。A[3:0]用来选择MCX314As的寄存器地址。BLTSYN连接MCX314As忙信号,处于低电平时不能执行写入命令。MCX-314As只有一个中断INTN,所有中断源都需进行“或运算”后输出到中断信号端口。与其他运动控制卡相比,本运动控制卡的最大特色是具有位插补功能、自动原位搜寻功能及电子齿轮设计。
    与直线、圆弧插补相比,位插补不是由几个参数和指令来产生任一特定的运动控制(如直线、圆弧等),它需要由上位机提供所有的插补数据。而这种插补模式却有很大的适应性,它对插补数据没有任何形式的限制,这无疑为实现样条插补、特殊函数曲线插补提供了方便,使得本运动控制卡在加工复杂轮廓曲线的工件时有很大的优越性,尤其适用于仿形机上的应用。由于位插补需要大量的插补数据,因此如何生成和管理这些数据就成为位插补模式应用的关键。一般来讲,插补数据都是由上位机提前生成的,这样,如何管理这些数据使其能及时写入而让插补连续进行就成为本设计系统的一个关键。针对这些数据“先进先出”的特点.本设计系统采用队列管理机制,并结合MCX314As中的堆栈计数器(SC),利用中断不断地将插补数据写入MCX314As中的4个(正反向各2个)数据缓冲区,从而保证了位插补的连续性。位插补的终止有2种方式:向正反方向各2个缓冲区都写入l;上位机停止写入数据。
    自动原位搜寻功能有助于机床快速归零到机床参考点。在此功能下,设计系统按选定轴负方向高速驱动至原点附近,然后以低速驱动直到原点信号或编码器Z信号激活而停止。再以设定的驱动速度往正方向快速归零到机床参考点。与其他控制系统的通过程序实现机制相比,该功能更为简单方便。
    在3轴极坐标螺旋仿形加工中,要求其中2轴(极径、极角)按工件外形轮廓曲线联动插补。第三轴按设定的螺距跟随极角坐标轴进给。在一般三轴加工中,上位机发送来的加工数据都为3维增量坐标,这里的第三轴增量坐标数据由设定的螺距决定,而M(2X314As的速度是按合成速度来控制的,这样就会出现下述情况:当粗加工螺距设定很大时。第三轴数据值就大,速度分量也很大,导致其它2轴速度分量大大降低,影响加工效率与质量。考虑到这种情况,本系统基于电子随动原理设计了电子齿轮,1轴可以按设定的比率从动于其他3轴中的任意l轴(类似机械齿轮传动),也可以解除这种从动关系的设定。在电子齿轮关系机制下,上述的3轴极坐标螺旋仿形加工就只需2轴数据,而第三轴按设定的传动比率跟随前者中的某一轴,从而理想地解决了这个难题。
    为了提高反馈脉冲的分辨率,本设计系统还采用了4倍频电路设计,对电动机的编码反馈脉冲进行4倍频处理。
    为了提高板卡的集成度,本系统使用VHDL硬件描述语言在(2PLD(MAX7000)中实现系统所需的一些外围电路。如地址译码(用于产生M(2X314As的片选信号)、4倍频电路、电子齿轮电路、速度倍率手轮译码等,限于篇幅,不再介绍。
    系统对电机的控制脉冲由MCX314As产生,并根据需要选择是否采用电子齿轮传动方式,然后经差分驱动输出驱动器产生差分驱动输出,既可以控制数字式交流伺服驱动器,也可以控制步进电机驱动器。电机编码器反馈信号(A、B、Z)经过高速光电隔离后输入CPLD,经过4倍频后传输给MCX314As的实际位置寄存器,用于位置显示和软件限位。通用输出信号经过74LS06型输出缓冲器输出。外部反馈脉冲(如超程限位信号、原位搜索信号等)及外部手动控制信号要经过光电隔离才能输入MCX314As。
    本系统采用MCX314As默认的16MHz频率作为时钟信号。该时钟决定了每个轴的正/负方向的驱动脉冲时间周期。图2示出MCX314As的时钟电路[4]。
    4.2控制卡系统的软件设计
    控制卡系统的软件设计主要是板卡驱动程序设计、CPLD硬件语言描述程序和MCX314As功能驱动函数设计。本系统的驱动程序主要是围绕(2H365来实现该板卡与PC的接口功能,选用DriverStudio 2.6开发工具,快速有效地开发了在Windows环境下用户级的WDM设备驱动程序,向该板卡的运用程序提供I/O基址、中断号等。CPLD硬件语言描述程序是用VHDL编写的,主要实现M(2X314As的片选信号、4倍频电路、电子齿轮电路、速度倍率手轮译码等外围电路功能。MCX314As的功能驱动函数设计是用于MCX314As的初始化、速度和加速度设置、直线插补、圆弧插补、归零搜索(1IOME)、硬件限位、位插补、数字信号的输入/输出和中断信号处理等功能函数设计。限于篇幅,这里只给出位插补的程序流程,如图3所示。
5 结束语
    使用高集成度的MCX314As型运动控制器能实现4轴控制或3轴联动的位置、速度、加速度控制,并能实现直线、圆弧、位3种模式的连续插补,具有接口简单、易于编程、工作可靠的特点。采用CH365作为PCI—ISA的桥接口,大大简化了PCI接口的设计。与其他以DSP或微控制器为控制核心的运动控制器相比,本设计虽然在功能多样性和灵活性上不如后者,但具有可靠性高、实时性好、适合列表曲线仿型加工时犬数据量快速连续加工的特点。
时间:  2006-7-7 07:46
作者: qqqq


基于RlTOS和ISP功能数字仪表系统设计实现.
 
  
    摘要:以Atmel公司的AT89S52型单片微控制器为对象,介绍基于RTOS(多任务实时操作系统)和ISP(在系统可编程)功能的数字仪表系统的软硬件设计,同时给出硬件系统的组成和相关软件编程的实现代码。经某武器系统的调试试验表明,该仪表系统具有显示直观、准确、可靠的优点。
    关键词:RTOS;ISP;单片微控制器;液晶显示模块
引言
    Atmel公司的控制器以其集成度高、在线调试方便等特点广泛应用于工程实践领域,文中以某武器系统的前期预研为背景,讨论了数字仪表系统的软硬件实现过程,其主要功能包含:实时采集配电箱系统的6路正负模拟电压值及作战环境温度,并通过MSl2232B型液晶模块动态式显示。
1 软件特性
    随着C语言在嵌入式系统中的广泛运用,软件系统的实时性、复杂性设计要求也越来越高,用通常的单任务无限循环结构与中断服务子程序相配合的编程思想已难以完成相关的软件设计或实现较为困难,RTOS(多任务实时操作系统)是现阶段较为流行的处理方式。

    RTOS的基本设计思想是将多种系统输入和处理要求,按功能做成不同的任务函数体,并分配适当的优先级,参考Windows系统的多线程处理机制,将CPU执行时间划分成若干区段,每个任务函数体对应一个时间片段.在规定的时间内执行完后允许切换到另一个任务,因各时间段非常短,表现的是多任务实时处理特性。因为任务并非同时执行且具备跳转特性,所以整个项目管理文件内可以有多个无限循环结构,且省略了main入口函数,这是RTOS最大的特色。文中以Keil集成开发环境为平台,利用RTX5l Tiny版本来完成整个软件设计过程。
    ISP(在系统可编程)是一种适合Atmel系列器件的Flash技术,通过PC的LPT标准并行接口,能实时地将Intel格式的源程序代码下载到微控制器的相应存储区域。ISP的最大特点是简化了调试过程,提高了软件系统的可维护性,使其具备了在线升级特性。而且整个开发过程省去了反复插拔电路的操作。
2 系统硬件构成
    本系统硬件主要包括如下几个模块:AT89S52型主控制器、ADC0809型模/数转换、MSl2232B型液晶显示器、温度传感器及语音报警等。其中AT89S52型微处理器主要完成外围器件的硬件控制及相关数据解算,ADC0809采集6路模拟信号并输入主控制器,MSl2232B完成数字及相关标识字符的显示,配电系统的电压波动超出预定指标时由语音模块给出相应的报警信息。
     2.1主控制器模块
    主控制器是Atmel公司生产的AT89S52型单片微控制器,与常规C51系列相比,其突出特点是具有8KB闪速式存储器(降低了对外扩存储器的要求)、256B RAM内存(可容纳更多局部变量)、8个中断源及ISP在线可编程特性。

    2.2液晶显示模块
    MSl2232B属于图形点阵系列的显示器件,具有双行显示功能,其点阵结构为122×32,通过AT89s52给出必要的数据和指令来操作MSl2232B主、辅控制器,从而完成图形、字符等相关信息的显示。该液晶显示模块还具有较强的通用性.用户用少量元件就可以构成一个完整的LCD系统。电路连接如图1所示。
    2.3 A/D数据采集模块
    由于本系统涉及多路模拟信号,故选择了AD(20809型通用模/数转换器,它采用逐次逼近方式完成A/D转换过程,其片内带有8路模拟开关,能自动锁存信号,输入电压范围是0V~+5V,因为该器件的输出具有1TrL三态锁存缓冲器结构,所以可以直接连人单片微控制器的I/O口。相关的接口连接如图2所示。
    需要说明的是,在本系统6路电源信号中只有一路负电源信号符合ADC0809 0V~5v的输入特性,因此必须配备相应的反相电路,则通过LM358型运算放大器来完成。利用LM358还可以扩展监测范围(以降低测量精度为前提),这对于精度要求不太高的场合是可行的。图2中PC817型光耦用于检测负电压信号,当IN4为低电平信号时对应负电压信号,此时在MSl2232B的相应行位置给出“-”号标志,这不仅解决了正负电压同行显示的兼容性问题,而且减少了软件设计量(由6路简化为3路),同时使系统实时性相应提升。

    2.4温度传感器模块
    DSl8820是Dallas公司推出的l—Wire式数字温度传感器,它能实时采集现场环境的温度数据,并将相应的值转换为数字量输出。该温度传感器与AT89S52的连接如图3所示。
    2.5语音报警模块
    该模块主要实现异常情况下告警信息的输出,只要配电箱电压信号的波动值超出一定的范围,音频告警装置即给出不同的频率信号使蜂鸣器发声,以提示相关操作人员注意。
3 系统软件设计
    按RTOS系统的程序结构要求,软件工程主要由如下4部分组成:初始化、数据采集与A/D转换、显示子程序、温度监测与告警模块。

    如果将初始化设置为任务0,那么除了要完成相应的硬件配置与变量赋初值外,还必须启动所有其他任务,另外,因为初始化过程只须进行一次,所以Task 0还应当删除其自身。具体的程序代码如下所示:
void Init(void)_task_Intial
{
variable=0;
LcdInitialO;
ClrScreenO;
0s_create_task(AI)C0809I)ata);
os_create_task(DSl8820Temperate);
0s_create_task(LCMI)isplay);
0s_delete_task(Intial);
}
其中相关的宏定义变量值为:
#define Intial O
#define ADC0809Data 1
#define DSl 8820Temperate 2
#define LCMDisplay 3
    在Keil集成开发环境下调试本程序时,除了要包括相应的头文件(rtx51tny.h)外,还必须设置好相应的环境参数值。
    3.1数模转换子程序
    数据采集与A/I)转换子程序主要对配电箱传来的模拟信号进行采样、量化及返回值处理。软件编程时的电气时序及相关技术要求如图4所示。
    在时序图中,上升沿清空量化结果寄存器内的值,下降沿启动,A/D转换;采样启动脉冲的高电平状态至少应维持100μs;EOC变为低电平表示转换过程正在进行,且启动信号的硬件迟滞效应时间至少应为10μs;MSB对应AT89S52并口的:P0.7,LSB对应P0.0。
关键部分的程序源代码及注释如下:
unsigned int AI)C0809(void)
{
unsigned int wait=3;
ChannelChooseA=1;
ChannelChooseB=0;
ChannelChooseC=0;
ADStartPulse=0;
ADStartPulse=1;//产生A/D转换的启动脉冲
(上升沿部分)
delay();//保证启动脉冲的高电平宽度
至少大于100μs
ADStartPulse=0;//产生A/D转换的启动脉冲
(下降沿部分)
wait--;
wait--; //指令延时以保证达到:EOC
变为低电平所需的硬件迟滞要求。
‘whilefADConvertEOC==0):
return(Result);/将A/[)转换后的量化值返
回到主函数中。
}
3.2液晶显示模块
MSl2232B型图形点阵液晶显示(LCM)模块左右分为Master和Slave控制器,上下共分4页,左边列地址为0-61,右边列地址为0-61,对该器件的操作关键是按时序图正确配置好主、辅控制器的工作状态。利用AT89S52访问LCM时有二种常用的方法,即存储器映射寄存器寻址和模拟接口时序,本系统采用后一种方法。主要函数模块源代码分析:
void MasterWriteD(unsigned char Ramdata)
//向Master控制器写数据
{
ReadOrWrite=0;
InstructionOrData=1;
MasterEl=1;
P0=Ramdata;
MasterEl:0;
}
void MasterWriteI(unsigned char Ramdata)
//向Master控制器写指令
{
ReadOrWrite=0;
InstructionOrData=0;
MasterEl=1;
P0=Ramdata;
MasterE1=O;
}
void ReadState(void) //检测主、辅控制器工作状态
{
ReadOrWrite=1;
InstructionOrData=0;
MasterEl=1:
SlaveE2=1;
PO=0xff;
while(BusStateBusy==1);
}
void SetPage(unsigned char page0,unsigned char pagel)
{
MasterWriteI(0xb8 | pagel);
SlaveWriteI(0xb8 | page0);
}
void SetColumn(unsigned char address0,unsigned char addressl)
{
MasterWriteI(0x3f & addressl);
SlaveWfiteI(0x3f & address0);
}
//在主控制器操作的左边LCM屏上显示一列信息(由8个像素点构成)
void MasterPutChar(unsigned char masterchar)
{
ReadState();
MasterWriteD(masterchar);
}
一般说来。AT89S52的RAM存储空间是有限的,而图形或字符的点阵代码(可以下载相关的应用插件,由.bmp文件或字符自动生成)如果太大,放置到RAM区会出现内存不足的告警提示,为此可按如下方式处理:
unsigned char code Dotn[]=lOxOO,Ox00,0x30,
0x00,0x30,Ox00,Ox00,0x00};
即将点阵代码通过code关键字限定后放于ROM区域内。
    3.3 DSl8820的1-Wire子程序
    DSl8820的突出优点是将现场采集的环境温度直接以数字形式输出,这样可以省去后续的信号放大及模/数转换部分,构建外围电路的元件少且相对简单,系统成本低廉;1-Wire接口与AT89S52通信,其结构便于多点测量且易于扩展;测温范围宽(-550℃~1250℃)且精度高。
    对l-Wire的操作关键是软件时序的处理,对于不同的晶体振荡器其延时参数是不同的,本系统以12MHz为例给出如下主要程序代码:
bit DallassReset(void)
{
unsigned char presence=0;
DallassDataout=1; //发出复位脉冲前先释
放总线
delay(10);
DallassDataout=0;
delay(240);//保证延时在4801μs~9601μs之间
DaUassDataout=1;
delay(35);
Dresence=DallassDataout;
delay(60);
return(presence);
}
//写时序从主控制器把总线拉低时开始
void writeCommand(unsigned char command)
{
unsigned char count;
for(count=O;count
{
DallassDataout=0;
if(command&0x01==1)
{
DallassDataout=1;
//写“1”时序在将总线拉低后,AT89S52主控制器必须在15μs内释放总线,所以此语句之前不能有较长时间的延时语句
}
delay(35);//无论写“1”还是写“0”时序都必须有至少601as的低电平 DallassDataout=1;//总线恢复的过程
command=command>>1;
}
}
//读时序从主控制器将总线拉低至少1μs后释放总线的时刻开始
unsigned char readdata(void)
{
unsigned char value;
unsigned char midtemp=0;
for(value=0;value
{
I DallassDataout=0;
midtemp=midtemp>>1;
-nop_O;
_nop_O;
DallassDataout=1;
//读时序时,控制器必须在15μs内释放总线,然后采样总线状态,所以此语句之前不能延时太长的时间的延时语句
if(DallassDataout==1)
{
midtemp=midtemp | 0x80;
//因为midtemp初始被赋值为0,所以如果采样总线状态为0则不必处理,0会自动被返回
}
delay(35);
//延时70μs完成此次Bit
位读时序过程,为下一次读作准备
}
return(midtemp);
}
void delay(unsigned char out)
{
unsigned char in;
for(in=out;in>O;in--);
}
4 ISP的结构原理
    将ISP功能集成到成型的PCB上就可以实现程序的实时更新与升级操作,其结构原理如图5所示,这对于复杂工程系统设计显然是很方便的,也是切实可行的。

5

5 结论
    随着智能仪器仪表技术的发展,显示模块的液晶化已成为一种较为流行的趋势。本文给出的软硬件设计方案已在某武器系统的调试过程中得到正确、可靠、稳定的运行。它不仅克NT模似仪表体积大、故障率高的缺陷,而且由于运用了RTOS技术,使数据检测的敏捷度得到大幅提升。另外,ISP功能的在线集成也为系统功能的扩充预留了空间。
时间:  2006-7-7 07:46
作者: qqqq


数字化智能充电器的设计

  
  摘 要:设计了基于单片机的智能充电器,介绍了其硬件和软件实现。该充电器可以实时采集和计算电池的参数,并进行智能控制,还可以通过串口和上位机进行通讯并进行实时
  关键词:智能充电器 单片机 开关电源 锂离子电池
  现代通讯设备、便携式电子产品、笔记本电脑、电动汽车、小卫星等普遍使用蓄电池作为电源,应用非常广泛。然而大多数设备中的蓄电池,只能使用专用的充电器,而且普通的充电器大多充电时间长,无法判断其充电参数和剩余的充电时间。
  本文介绍一种基于单片机的通用智能充电器的设计。充电器可以实时采集电池的电压、电流,对充电过程进行智能控制,计算电池已充的电量和剩余的充电时间;还可以通过串口和上位机进行通讯并给用户显示必要的信息,有虚拟仪表的作用;另外,它也可以改变参数,适应各种不同电池的充电。这里列举几种不同的电池充电试验,来说明智能充电器的实用价值。
1 智能充电器的硬件设计
  智能充电器如图1所示。主要包括电源变换电路、采样电路、处理器、脉宽调制控制器和电池组等,形成了一个闭环系统[4]。下面对系统的工作原理分几个部分进行简述。
图1智能充电器电路模块图

    1.1 处理器
  处理器采用51系列单片机89C51。单片机内部有两个定时器、两个外部中断和一个串口中断、三个八路的I/O口,采用11.0592MHz的晶振。单片机的任务是通过采样电路实时采集电池的充电状态,通过计算决定下一阶段的充电电流,然后发送命令给控制器控制电流的大小。单片机通过串口RS232和上位机相连,用于存储数据和虚拟显示。
    1.2 采样部分
  电压和电流采样采用模/数转换器AD574。AD574为±15V双电源供电,12位输出,最大误差为±4bit,合计电压0.01V。
  充电电流通过电流传感器MAX471转换为电压值。电流采样的电压值和电池组的端电压值两者经过模拟开关CD4051,再经过电压跟随器输入到AD574,分别进行转换,其结果由单片机读取,并进行存储和处理。主要的电路连接如图2所示。

图2 采样电路

    1.3 控制器
  控制器采用脉宽调制(PWM)方式控制供电电流的大小。PWM发生器由另一个20MHz的单片机构成,主控制器和它采用中断的方式进行通讯,控制其增大或减小脉宽。PWM信号通过光电隔离驱动主回路上的MOSFET。开关管、二极管、LC电路构成开关稳压电源。用PWM方式控制的开关电源可以减小功耗,同时便于进行数字化控制,但母线的纹波系数相对较大。PWM控制电路如图3所示。
图3 PWM控制电路

2 智能充电器的软件设计
    2.1 数据测量
  在单片机的测量中,电池电压值和电流测量值经过多路选择器进行选择,然后通过A/D转换器转换为16进制数,直接存入单片机。电池电容量C则需要间接计算,由于每个循环 周期检测电流一次,故可以利用电流值的积分求出电容量C。考虑电池内阻r的影响,可以得到计算电容量的计算公式为:
  Cn+1=Cn+I·t-I2·r·t
  充电时间和剩余充电时间由上位机进行计算,剩余充电时间等于预设的充电时间与已充电时间的差值。其中,预设时间可根据电池的型号预先得到。
    2.2 单片机控制程序设计
  对于不同的电池和不同的参数,单片机需要设定不同的充电参数,选择不同的充电策略。另外,程序需要在电池过电流、过电压等异常情况下强制终止充电。以锂离子电池为例,一般采用恒流-恒压充电方式,其充电过程包括小电流预充电、大电流充电、恒压充电等几部分。其充电控制程序流程图如图4所示。
图4 充电控制策略程序

  在控制恒定电流和恒定电压的过程中,采用比例控制,即如果充电电流I大于设定电流Is,就按照比例减小脉宽;反之按照比例增大脉宽。单片机还需要接收和处理上位机的命令,并根据上位机的要求将数据实时回送给上位机。两者的通讯协议要在程序中预先设定。
    2.3 上位机处理程序设计
  上位机程序由VisualC++编写。其任务是每隔1秒钟向串口发送一个查询命令,并读取单片机回送的信息,提取充电电流、充电电压、工作状态等参数。参数经过数制转换和计算后进行显示。软件有着良好的用户界面,可以方便地观测电池目前的工作状态以及剩余充电时间等信息。上位机程序会同时把读到的数据存储到文件中,这些数据可以利用其它数学软件(如Matlab)进行处理。
  另外,程序在初始化时要把充电电池的型号参数发送给智能充电器,参数一般包括充电电池的种类(锂离子电池、镍镉电池)、充电电池的容量(单位为mAh)等。根据不同的电池型号,单片机可以设定不同的充电参数,程序可以直接控制单片机的运行与停止。
3智能充电器的应用试验
    3.1充电性能试验
  这里选用型号为US18650的SONY锂离子电池,其额定容量为1800mAh;经过测量,电池在4.2V左右时的内阻约为0.3Ω。取恒流充电电流为1/3C=0.6A,截止电压为4.2V,充电结束标志电流为0.06A,进行充电试验。图5为充电过程的电压、电流和电容量的曲线。
图5 锂离子电池充电性能试验

图6 NOKIA商用电池充电试验


  充电时间约为240分钟,如果需要进一步缩短充电时间,只需在初始化时设定更大的充电电流即可。因为采用PWM控制器,所以电源供电的效率高,从供电电源到充电电池的工作效率,最低时在85%左右。充电电流波动较大,波动系数约为5%。
    3.2 智能充电器通用性试验
  选用NOKIA6100锂离子电池(额定容量为550mAh),用恒流-恒压充电方式进行充电,取恒流充电电流为0.15A,截止电压为4.2V,充电曲线如图6所示。
  从充电曲线来看,电池电压达到3.96V时就不再上升了,充电电流也不再下降了。可以判断商用电池内部有保护电路,将多余的电流旁路了,这样的保护电路使充电过程中能量损耗很大。试验曲线显示在四小时时电池电量已经达到550mAh,但实际上并没有达到满充。此实验证明,此充电器可以作为一般的商用电池的通用充电器,充电速度快,效果良好。不足的是它与实际的充电电池在机械接口上还不能匹配,需要进一步改进。

时间:  2006-7-7 07:46
作者: qqqq


一种基于功耗管理的DSP处理器设计

  
  摘 要:一种具有功耗管理特性的DSP处理器的结构设计。该处理器采用4级流水线和增强型的哈佛并行系统结构及完善的时钟管理模块,提供了一种DSP处理器的集成设
  关键词:DSP处理器 流水线 哈佛结构 低功耗
  在信息日益成为一种重要资源的今天,强大的市场需求和微电子技术的发展促成了便携式电子系统的飞速发展。这些便携式电子设备,不但对速度和面积要求非常高,而且对系统的平均功耗要求也很严格,使功耗问题日渐成为制约便携式电子设备发展的瓶颈。要获得高性能低功耗的方案,其实质也就是在处理速度、芯片面积和功耗上来权衡如何满足数字信号处理系统的要求。
  本文介绍了一种基于并行流水线的低功耗数字信号处理器(DSP)的系统设计,以改善通用处理器的不足,应用于各种便携式系统中,以便取得良好的效果。
  系统方案中,基于流水线的结构从行为级方面降低了系统的功耗;时钟管理方案则可以允许系统在不同的工作模式下使用不同的工作频率,从而使每一个单项任务所消耗的功耗最小;增强型的哈佛结构存储管理可以大大提高系统的并行性,提高系统效率。
1 流水线结构
  流水线结构是芯片行为级降低功耗的主要方法之一,下面简要分析其原理。在传统的分析方法中,CMOS电路的功耗可用下面的方程进行估计:

  
  其中f=1/Ts,Ts是原始时序系统的时钟周期。若是一个M级流水线系统,其关键路径则缩短为原路经长度的1/M,一个时钟周期内充放电电容则减小为Ccharge/M(注意总电容并没有变化)。如果时钟速度保持不变,则在原来对电容Ccharge充放电的同样时间内,现在只需要对Ccharge/M进行充放电,这就意味着电源电压可以降低到βVdd,其中β是一个小于1的常数。这样,流水线滤波器的功耗将为:

  
  和原始系统相比流水线系统的功耗降低了β2倍。
  该DSP处理器采用如图1所示的4级流水线结构。各级流水线的功能介绍如下:
  FI:取址阶段。由程序地址产生模块产生指令存储器地址,并取出指令。
  DI:译码阶段。通过指令译码产生相应的微控制信号,送入相应的控制寄存器。
  FO:取操作数阶段。从寄存器堆或外部存储器读出相应的数据,通过数据总线送入运算单元或寄存器堆。
  EXE/WB:执行及写回阶段。进行运算或操作,得出相应的结果,并将结果放到写总线(EB)上。

图1 4级流水线

2 外围接口部分
  外围接口部分提供系统内部和外部的各种连接方式,实现各种方式的信息传输。本设计把这些接口分为二大部分1)MCU类型的接口,如低速的串行端口(串行外围接口(SPI)和通用异步收发器(UART))、可编程通信接口(PCI)、通用串行总线(USB)以及一些外围设备。(2)适于媒体信息收发的高速接口,如异步串行端口和并行外围接口。
3 数据传输的设计
  数字信号处理是数据量很大的应用,所以如何高效地传输数据是一个影响系统性能的关键瓶颈。作为DSP处理器,必须有全面的DMA能力以便对数据在芯片内外进行传输。因为在DSP芯片内部集成足够的存储空间不大现实,所以必须采用DMA来管理流动数据,将数据传输和系统控制过程分开。这样,一方面可以提高数据传输的速度,另一方面可以降低处理器内核的负担,提高系统运行效率。
  系统设计中DMA采用基于描述符的传送,它在发起DMA传送序列时,需要一组存储在存储器中的参数。这类传送允许将多个DMA序列链接在一起,一个DMA通道可以被编程建立,并且在当前序列完成之后启动另一个DMA传送。
4 乘法器和逻辑单元的设计
  在数字信号处理应用中,实现高速的数据运算是其突出的特点,所以其结构设计中必须具有单独的乘法器以实现其性能的提高。乘法器和逻辑单元的结构框图如图2所示。
图2 CALU及乘法器结构框图

  乘法器工作时,用1条LT(Load TR)指令加载TR,由TR提供一个乘数。乘法指令提供另一个操作数,它既可以是来自数据总线,也可以是来自程序总线的立即数。不管在哪种情况下,每个周期都可以获得稳定的乘积项输出。
  3个移位器(shifter)是桶式移位器,它提供对16位或32位的操作数进行移位操作,可以大大提高乘后累加的速度。
5 地址处理模块
  地址处理模块是为总线部件计算取指和取数据的地址,也包括处理一些重复指令和跳转指令。根据指令系统的特点,本文设计的地址处理单元如图3所示。
图3 地址处理模块结构框图

  派生地址可能来自S_BUS,或是上一地址的加1值,也可能是总线输入数据暂存器DataIn之一;指令指针IC的值可能来自S_BUS或者是自增1的结果;预取指针PreIC可能来自IC或者是自加1的结果。最后的输出地址是派生地址暂存器AddrTemp、指令指针IC、总线输入数据暂存器DataIn或预取指针PreIC这4种地址之一。
  当执行的指令需要计算有效地址时,输出地址是派生地址寄存器;当程序跳转时,输出地址是指令指针IC;当寻址方式是间接寻址时,输出地址是DataIn; 当预取指令时,输出地址是预取指针PreIC。
  因为AddrTemp和IC的增量计算在系统中不可能同时出现,所以结构设计中只设计一个增量器供二者共用。
6 存储器的组织管理
  在数字信号处理系统中,数据的吞吐率直接影响系统的性能,传统的冯·诺曼(Von Neuman)结构是将指令、数据存储在同一存储器中统一编址,依靠指令计数器提供的地址来区分指令和数据。取指令和取数据都访问同一存储器,数据吞吐率低。而哈佛结构则不同于传统的冯·诺曼结构的并行系统结构,其主要特点是将程序和数据存储在不同的存储空间中,即程序存储器和数据存储器是2个相互独立的存储器,每个存储器独立编址,独立访问。系统中设置了程序和数据2条总线,从而使数据的吞吐率提高了1倍。
  本文的设计采用如图4所示的增强型哈佛结构,它包括1个程序代码存储器和2个数据存储器,其中程序代码存储器只存放指令,程序数据存储器存放程序数据,而数据存储器则存放通用数据。对这些存储器的访问是相互独立的,系统可以在取指令的同时提供2个操作数,因而大大提高了系统的执行效率。
  为了使用更大的虚拟地址空间,对存储器采用分页管理,几个不同的页可以占用同一段地址空间,由各个存储器的分页寄存器指明当前所访问的是哪一页。
图4 增强型哈佛结构

7 时钟管理方案
  由公式(1)可以看出,系统的功耗和时钟频率呈线性关系,因此,通过降低系统时钟可以有效地降低功耗。时钟管理方案为系统提供了在不同工作模式下进行工作的频率,其结构如图5所示。由图可知,外部输入时钟CLKI经过全局输入缓冲器IBUFG连接到延迟锁相环DLL,锁相环原相时钟经过全局缓冲器BUFG输出,这样就可以得到稳定的片内原时钟;系统在低功耗模式下,可以根据用户配置的时钟分频计数器的值将原时钟分频,产生分频时钟;如果系统时钟要停止,可直接将低电平作为时钟输出。
图5 时钟管理方案结构图

  以上3种时钟经过多路选择器输出,该内部产生的时钟已经不是稳定的时钟。因此,将该时钟输出到片外,然后将输入连接到片上时钟专用线,即经过全局输入缓冲器连接到延迟锁相环,锁相环原相时钟经过全局缓冲器输出产生稳定的系统主时钟。同时,锁相环二分频时钟经过全局缓冲器输出作为系统状态时钟,由它参与系统控制。此外,将该锁相环的时钟锁定标志LOCKED输出,便于在系统调试时观察内部时钟的稳定性。
  本文介绍的低功耗DSP处理器的设计,相对于其他处理器的解决方案具有成本低、复杂性小、产品上市时间短等优点,并且能够以较低的价格实现各种便携式数字信号处理性能。该设计方法可作为同类设计的参考。
时间:  2006-7-7 07:47
作者: qqqq


基于MC9S12DP256轿车ABS/ASR集成控制系统

  
    摘 要:介绍了基于Motorola公司新一代HSC12系列16位MCU——MC9S12DP256微处理器开发的轿车ABS/ASR集成控制系统,详细描述了该集成控制系统硬件电路和软件逻辑的构成。通过实车试验,证明控制效果良好,为轿车的主动安全控制装置集成化打下了基础。   
    关键词:MC9S12DP256 ABS ASR 集成控制
  汽车驱动防滑系统(Anti-slip Regulation System,简称ASR)是在汽车制动防抱死系统(Antilock Braking System,简称ABS)的基础上发展起来的。在装备了ABS的汽车上添加发动机输出力矩的调节功能和驱动轮制动压力的调节功能后,ABS所用的车轮转速传感器和压力调节器可全部为ASR所利用[1]。ASR和ABS在算法上很相似,许多程序模块可以通用,因而在实际应用中可以把两者集成在一个控制器中,组成ABS/ASR集成系统。
1 轿车ABS/ASR集成控制系统简介
  通过对捷达GTX试验样车的液压制动系统进行改造,实现了可以独立调节四个轮缸压力的ABS/ASR集成系统液压执行机构[2]。在此基础上,开发了一种轿车ABS/ASR集成控制系统,主要包括控制系统ECU、传感器、执行机构三个部分。图1为轿车ABS/ASR集成控制系统示意图。
图1 ABS/ASR集成控制系统框图


  当汽车正常行驶时,ABS/ASR集成控制系统的ECU实时采集和处理传感器信号,并根据其所提供的信息,选用不同的控制方式对汽车进行控制。控制的方式包括调节车轮轮缸压力的制动力矩控制模式和调节发动机输出力矩的节气门开度控制模式。ABS和ASR子系统功能的实现就是对以上两种控制方式适当组合和合理控制的结果。
2 ABS/ASR集成控制系统的ECU
    2.1 MCU的选取
  MCU是ABS/ASR集成控制系统的核心,它负责数据的采集和处理、所有的逻辑运算以及最终控制的实现。考虑到集成控制系统对其运算能力、存储空间、I/O接口的要求以及后续集成其它系统的目的,选择了功能强大的Motorola新一代HSC12系列16位MCU——MC9S12DP256。
  它具有很强的运算能力、丰富的I/O接口和充裕的存储空间。采用STAR12 CPU,核心运算能力可以达到50MHz,总线速度可以达到25MHz,采用优化的指令集,指令的运算速度得到了很大的提高。通过片内的PLL功能可以方便地选择MCU的核心频率而不管外部晶振频率为多少。片内集成了256K FLASH、12K RAM和4K EEPROM,完全可以满足程序对存储空间的要求。它有丰富的I/O接口,包括两个异步串行通讯接口(SCI),三个同步串行通讯接口(SPI),八通道输入捕捉/输出比较(IC/OC),十六个10位A/D接口,八路8位PWM,二十九路独立的数字I/O接口,二十路带中断和唤醒功能的数字I/O接口,五路CAN总线接口,一个IIC总线接口,一个BDLC(J1850)接口[3]。
  该MCU有四路输入捕捉(带有保持缓冲器),利用独立的ECT捕捉时钟可以自行完成两个脉冲间的周期计算,非常适合进行四个车轮的轮速采集,大大提高了MCU的工作效率。
    2.2 ECU硬件电路设计
  MCU的外围电路采用模块化设计思想,即把电控单元划分成不同的模块,将比较成熟固定的模块组成一块单独的电路板,研究过程中变化较大的模块组成另一块单独的电路板,板与板之间通过I/O扩展插槽进行通信。这种设计方法有利于试验过程中对系统的维护和扩展,例如需要更改电路或者对系统进行扩展时,无需重新设计整块电路,只需在相应模块上改变或添加即可;模块化设计也具有更好的电气特性,例如,驱动模块是比较大的干扰源,对A/D转换和MCU的工作影响很大,可放在不同的电路板上,对信号线采取隔离措施即可。
  根据ABS/ASR集成控制系统的特点,将整个电控单元分为了A板和B板,两块电路板之间通过I/O总线扩展插槽连接。
  A板主要包括主控芯片MC9S12DP256及其最小系统外围电路、通讯接口电路和数据采集电路,如图2所示。
图2 A板电路结构示意图


  MC9S12DP256最小系统外围电路包括电源模块、外围复位电路、时钟晶振电路、工作模式选择等。
  通讯接口电路包括BDM接口。两路SCI串口通讯接口电路,其中一路通过硬件跳线选择连接故障诊断驱动芯片MC33199,利用PCA82C250驱动芯片引出两路CAN通讯节点,预留给集成控制系统扩展使用。
  A板还包括ECU中的数字量、模拟量和开关量采集处理电路,主要包括四个轮速信号、节气门开度信号、加速踏板开度信号、高压蓄能器压力传感器信号、制动踏板开关信号等。
  B板主要包括执行机构驱动电路和开关量信号处理电路,如图3所示。
图3 B板电路结构示意图


  系统驱动的执行机构主要包括ABS压力调节器的四个常开进油阀、四个常闭出油电磁阀、四个ASR电磁阀和一个ABS电机。
  ABS和ASR子系统的使能信号及工作指示灯部分的相关电路也放在该电路板上。
    2.3 ECU控制软件设计
  ABS/ASR集成控制系统中ABS和ASR子系统本身都是复杂的控制系统。软件系统的集成并不是把ABS和ASR控制系统简单地叠加,而是要把它们有机地融合,同时还要考虑到软件运行的实时性、可靠性等问题。
  集成控制系统的控制软件主要由系统初始化模块、启动自检模块、主控制模块、制动踏板中断服务程序模块等几大部分组成,总体框图如图4所示。
图4 ABS/ASR集成控制系统软件框图


  系统初始化模块在系统上电复位时对系统进行初始化。初始化内容包括MCU内部的时钟、各端口设置、串行通讯接口、模拟和数字通道、看门狗定时器、系统变量等,以保证MCU正常运行。另外还包括对集成系统的执行机构进行复位,确保车辆的安全行驶。
  启动自检模块是在系统初始化后对关键软、硬件部分进行静态检测,以判断系统的软、硬件工作是否正常。如果发现集成控制系统中存在故障,故障警示灯会持续点亮。
  主控制模块为ABS/ASR集成控制系统的控制主程序,见图4中阴影虚线框。主控制模块通过实时中断RTI做固定周期20ms的循环,连续调用故障诊断模块、数据处理模块、参考车速计算模块、路面识别模块和车辆运动状态识别模块,实时进行车辆运动状态和外界环境的判断。然后依据车辆当前的运行状态,由控制执行模块分别进行ABS或ASR的逻辑门限值控制。
  考虑到驾驶员制动动作与ASR制动干预控制的紧急切换需要,设计了制动踏板中断服务程序。当采集到制动踏板触发的中断时,进入制动踏板中断服务程序,如果集成控制系统处于ASR工作方式,立即退出当前控制,对执行机构模块进行复位,恢复常规制动方式,不干预驾驶员的制动动作,保证了车辆行驶的安全性。
3 试验结果与分析
  利用所开发的采集系统[4]和本文所设计的ABS/ASR集成控制系统,在捷达GTX试验车上进行了典型工况ABS和ASR试验,验证其控制效果。
  ABS试验选择在干柏油路面、制动初速度为50km/h的条件下进行,图5为带有ABS控制的直线制动过程。图中显示了车辆左前轮速度变化的过程,在整个制动过程中与车速都能够比较好地逼近,车轮的滑移率也被控制在比较理想的区域内,保证了车辆制动过程中方向的稳定性。
图5 带有ABS控制,干路面,初速50km/h条件下的直线制动


图6 ASR对开路面上左右两侧驱动轮轮速比较



图7 ASR对开路面上左右两侧驱动轮滑转率比较


  ASR试验选择一挡对开路面起步过程,右侧车轮位于低附着系数路面上。图6为起步过程中左右两侧驱动轮转速对比,其中曲线1为右侧驱动轮车速,曲线3为左侧驱动轮车速,曲线2为非驱动轮车速,可近似认为是车身速度。可以看到起步初期,右侧驱动轮发生明显的滑转,在33.5秒附近ASR开始调节,车轮的滑转明显改善。图7为起步过程中左右两侧驱动轮滑转率的变化曲线,更明显地反映出进行ASR控制后,右侧滑转驱动轮的滑转率被控制在较为理想的范围内。同时,由于制动干预的影响,左侧驱动轮的滑转率略有上升。
  通过实车试验,说明ABS/ASR集成控制系统中的ABS和ASR功能都取得了比较理想的控制效果,为其它底盘主动安全控制系统的集成创造了条件。
时间:  2006-7-7 07:47
作者: qqqq


基于ARM7+μC/OSII的数据采集系统设计

  
    摘 要:介绍了一种用ARM7+μC/OSII设计的数据采集系统。给出了系统原理框图,实现了将嵌入式操作系统植入该系统中,列出了软件设计的要点。
  关键词:ARM7;μC/OSII;嵌入式操作系统
  在一些工业现场中,设备长时间运行容易出现故障,为了监控这些设备,通常利用数据采集装置采集他们运行时的数据并送给PC机,通过运行在PC机上的特定软件对这些数据进行分析,以此判断当前运行设备的状况,进而采取相应措施。当前常用的数据采集装置,在其系统软件设计中,多采用单任务顺序机制。这样就存在系统安全性差的问题。这对于稳定性、实时性要求很高的数据采集装置来说是不允许的,因此有必要引入嵌入式操作系统。笔者以μC/OSII为操作系统平台,基于ARM7系列处理器,对一种高性能的数据采集系统开发进行了探索。
1μC/OSII嵌入式操作系统简介
  嵌入式操作系统μC/OSII(microcontroller operating system)是专为微控制器系统和软件开发而设计的公开源代码的抢占式实时多任务操作系统内核,是一段微控制器启动后首先执行的背景程序,作为整个系统的框架贯穿系统运行的始终。对于对实时性和稳定性要求很高的数据采集系统来说,引入μC/OSII无疑将大大改善其性能。
  μC/OSII的特点可以概括为以下几个方面:公开源代码,代码结构清晰、明了,注释详尽,组织有条理,可移植性好,可裁剪,可固化。内核属于抢占式,最多可以管理60个任务。μC/OSII自1992年的第一版(μC/OS)以来已经有好几百个应用,是一个经实践证明好用且稳定可靠的内核。目前国内对μC/OSII的研究和应用都很多。
2系统基本工作原理
  应用时,数据采集系统置于被监控的设备处,通过传感器对设备的电压或者电流信号进行采样、保持,并送入A/D转换器变成数字信号,然后将该信号送到FIFO中。当FIFO中存放的数据到了一定数目时,由ARM7从FIFO中读出,然后通过ARM7的以太网接口或者RS232送给上位机。考虑到要监控的设备可能会很多,所以设计了多路采集通道,他们经过模拟开关后再进入A/D转换器。CPLD是整个系统的控制核心,他控制采集通道的切换、A/D转换器的启/停、转换后的数据在FIFO中的存放地址发生器、产生中断请求以通知ARM7读取存放在FIFO中的数据等。
3系统硬件结构

  系统的基本结构框图如图1所示。该系统采用了Samsung公司的S3C4510B作为系统与上位机沟通的桥梁,S3C4510B是基于以太网应用系统的高性价比16/32位RISC微控制器,他有如下几个主要特点:
  硬件方面内含一个由ARM公司设计的16/32位ARM7TDMI RISC处理器核,ARM7TDMI为低功耗、高性能的16/32核,最适合用于对价格及功耗敏感的应用场合。S3C4510B通过在ARM 7TDMI核内容基础上扩展一系列完整地通用外围器件。
  片上资源包括2个带缓冲描述符(buffer descriptor)的HDLC通道;2个UART通道;2个GD M A通道;2个32位定时器;18个可编程的I/O口。还有中断控制器;DRAM/SDRAM控制器;ROM/S RAM和FLASH控制器;系统管理器;1个内部32位系统总线仲裁器;1个外部存储器控制器等片内的逻辑控制电路。
  这些为μC/OSII的移植提供了优良的物理资源。
  软件支持方面他有配套的代码编辑调试环境ADS12和JTAG在线调试功能,使S3C4510B芯片软件可以直接用C编写,这就使μC/OSII的植入成为可能。
  12位高速A/D转换电路采用Analog Devices的AD574,该电路输出具有三态锁存功能。预处理电路包括了电流电压互感器、隔离电路和同步采样电路,他可以将信号转换成与AD574相匹配的量值,供后续处理。通讯电路采用常用的以太网接口与上位机相连,而232接口可作为备用,这样该装置既可作为便携式系统使用,也可通过网络来对设备实施实时监控。
4软件设计部分
  软件部分要分别编写S3C4510B部分的程序和CPLD控制程序。前者可分为μC/OSII的移植和各个应用程序的编写,后者用VHDL语言实现。
  对于S3C4510B部分,根据整个装置实现的功能和对他的要求进行系统任务分割,并根据实际需要为各个任务分配优先级。系统大致可分为如下几个任务:初始化CPLD控制参数;对FI FO的读取;与上位机的TCP/IP通讯;与上位机的串口通讯。对应每个任务,需要编写相应的应用程序,软件设计部分的关键技术有:
  (1)μC/OSII内核向S3C4510B中的移植,要根据处理器的特点合理地修改μC/OS II的3个与处理器相关的文件:OS_CPUH,OS_CPU_AASM,OS_CPU_C.C。主要是将文件中的汇编指令,改为ARM7的汇编指令,并根据CPU的特点对文件中寄存器的初值进行改写。
  (2)内存配置问题。对于存储器容量的设计,要综合考虑μC/OSII内核代码和应用程序代码的大小。每个任务是独立运行的,必须给每个任务提供单独的栈空间(RAM),RAM总量的计算公式为:
  RAM总量=应用程序的RAM需求+内核数据区的RAM需求+各任务栈需求之总和+最多中断嵌套所需堆栈
  (3)TCP/IP协议在μC/OSII中的实现。为了满足嵌入式设备与Internet网络直接交换信息的要求,在μC/OSII中又移植了LwIP协议栈。
  LwIP是瑞士计算机科学院(Swedish Institute of Computer Science)的Adam Dunkels等开发的一套用于嵌入式系统的开放源代码TCP/IP协议栈。LwIP的含义是Light Weight(轻型)IP协议。LwIP可以移植到操作系统上,也可以在无操作系统的情况下独立运行。LwIP TC P/IP实现的重点是在保持TCP协议主要功能的基础上减少对RAM的占用,一般他只需要几十k的RAM和40 k左右的ROM就可以运行,这使LwIP协议栈适合在低端嵌入式系统中使用。
  LwIP的特性有:支持多网络接口下的IP转发;支持ICMP协议;包括实验性扩展的的UDP(用户数据 报协议);包括阻塞控制、RTT估算、快速恢复和快速转发的TCP(传输控制协议);提供专门的内部回调接口(raw API)用于提高应用程序性能。
  LwIP可以很容易地在μC/OSII的调度下,为系统增加网络通信和网络管理功能。LwIP协议栈在设计时就考虑到了将来的移植问题,他把所有与硬件,OS,编译器相关的部份独立出来,放在/src/arch目录下。因此LwIP在μC/OSII上的实现就是修改这个目录下的文件,其他的文件一般不应该修改。在驱动中主要是根据S3C4510B内的以太网控制特殊功能寄存器,编写网络接口的处发送包、接收包函数,初始化以及用于以太网控制器的外部中断服务程序。
5结语
  采用基于ARM7的S3C4510B嵌入式微处理器,可以使系统小型化,便于提高性能以及与各种外设连接扩展,同时降低了成本。μC/OSII作为一个源代码公开的操作系统,在具体应用种稳定可靠。本系统采用ARM7+μC/OSII开发设计,具有精度高、运行稳定、实时性好、抗干扰能力强、性价比高的特点,可以在各种工业场合中广泛应用,达到了设计的初衷。
时间:  2006-7-7 07:48
作者: qqqq


基于PIC16C57单片机的智能低电阻测量仪
   

  
    摘  要  介绍一种以PIC16C57单片机为核心的低电阻测量仪,与普通的低电阻测量仪不同的是:该测 量仪的测试电流仅为05 mA并加有多种保护电路,具有很高的安全性能。主要应用于军工 、国防、民用爆破等行业的电火工品的直流低电阻的测量。
    关键词  PIC单片机低电阻火工品

    低电阻测量仪按其测试电流的大小可分为两类:一类测试电流较大,主要用于接插件、开关、导体等产品的直流低电阻的测量;另一类测试电流很小(一般为1 mA左右),用于电雷管、点火具或其他危险易爆场合的接插件、开关等元器件的直流低电阻的测量。低电阻测量仪对安全性能要求很高,必须增加多种保护电路;在PCB板设计布线时也要考虑安全性和可靠性。1989年至今,我们不断改进和完善电路设计,设计生产了4个型号的低电阻测量仪,以下是最新的DZC-4型智能低电阻测量仪。
 
1  总体方案和技术指标

 
 如图1所示,该仪器由5个部分组成:电源供应、精密恒流源、精密电压放大器、A/D 转换器、单片机控制器。

 其主要技术指标如下:
 测试范围:0~20Ω0~200Ω0~2 k(三挡量程自动切换);
 最高分辨力:0.001  ;
 测试电流:0.5  mA;
 测试精度:±(0.2%+2);
 整机耗电:

 
  本仪器最大特点是用软件实现自动调零和量程转换,省去故障率较高的电位器和量程切换 开关。软件调零的方法是:开机后单片机进行自检,如果系统工作正常就读取A/D转换的结果。当连续读取5个A/D转换结果后,判断它们是否都小于0.2Ω,否则,就认为操作者没有 将测试棒可靠短路,仪器继续显示调零提示符。如果连续5个值都小于0.2Ω,这时就找出其中最小值作为初始值,以后每次的测量结果都要减去初始值。
  根据A/D转换芯片ICL7135的过量程和欠量程信号,由单片机自动进行量程切换。量程切换 要 完成3项工作:首先是切换精密放大器的放大倍率,再是调整初值的有效位数,最后是调整 小数点的位置。
  PIC16C57单片机没有中断功能,采用查询的方法与A/D转换器通讯,利用A/D转换的间隙显示数据。
  PIC单片机与显示模块中的74LS164采用串行数据通讯,每次只显示1位数据,为防止显示 发生闪烁,刷新率应大于30Hz 。
  程序流程如图3所示。

4  结语
 
  仪器与被测电阻采用4线制接线法,能消除接插件电阻的影响。此外,由于仪器分辨力很 高,所以要求测试夹具进行镀银处理,这一点很重要,否则会造成测试结果漂移不定。
  仪器批量生产的测试结果表明:仪器的技术指标达到设计要求,能够满足生产、科研的需 要。如果仪器稍加改进,可以当作高灵敏的直流电压表和电流表使用。
时间:  2006-7-7 07:48
作者: qqqq


看门狗技术在某型相机导航数据接口板中

  
    摘要:介绍一种将软件和硬件相结合实现的“看门狗”技术在某型相机导航数据接口板中的应用。结合实际应用给出硬件电路和软件流程。实践证明,采用该技术可使429接口板具有较高的抗干扰性及高可靠性。
    关键词:航空相机; 抗干扰性; 可靠性; “看门狗”技术
    在某型航空相机中,通过429总线数据接口板与任务机通讯接收相关数据和控制指令,同时与相机主板通过并口通讯交换数据,这样一来,如果接口板程序陷入“死机”或“死循环”状态,那么整个相机系统将无法正常运行,因此,必须尽早发现故障并采取补救措施。本设计系统中采用了软件与硬件相结合的“看门狗”技术。
1 系统中的硬件“看门狗"
    专用硬件看门狗是指一些集成化的或集成在单片机内的专用看门狗电路,实际上它是一个特殊的定时器,当定时时间到时发出溢出脉冲。从实现角度上看,该方式是一种软件与外部专用电路相结合的技术,硬件电路连接好以后,在程序中适当地插入一些看门狗复位指令(即“喂狗”指令),保证程序正常运行时看门狗不溢出;而当程序运行异常时,看门
    狗超时发出溢出脉冲,并通过单片机的RESET引脚使单片机复位。在这种方式中,看门狗能否可靠有效地工作,与硬件组成及软件的控制策略都有密切的关系。
    该系统采用Maxim公司推出的内有“看门狗”(Watchdog)定时器的MAX813L型双列直插式微处理器,其引脚排列如图1所示。

    图2示出MAX813L“看门狗”定时器的时序。WDI为“看门狗”的输入端.用来启动Watchdog使定时器开始计数。当RESET有效或WDI输入为高阻态时,Watchdog定时器被清零且不计数。当复位信号变为低电平且WDI电平发生变化(上升沿变化或下降沿变化)时,定时器开始计数。Watchdog一旦被驱动。若在1.6s内不再重新触发WDI.或WDI不是高阻态也无复位信号时,定时器将发生计数溢出,使WDO变为低电平。通常,Watchdog可使CPU摆脱“死循环”的困境,因为陷入死循环后就不可能发出WDI脉冲,最多经过1.6s后,发出WDO信号。

    图3为实际应用接口板时的“看门狗”硬件连接,其中MAX813L的1脚与8脚相连,7脚接CPU的复位脚,6脚与CPU的P1.7相连。在软件设计中,P1.7不断输出脉冲信号(“喂狗”指令),如因某种原因CPU进人死循环,则P1.7无脉冲输出,经1.6s后,MAX813L的8脚输出低电平,将该低电平加到1脚,MAX813L则产生复位输出,使CPU有效复位,CPU从而摆脱死循环的困境。另外,当电源电压低于门限值(4.65V)时,MAX813L也产生复位输出,使CPU处于复位状态,不执行任何指令,直至电源电压恢复正常,可有效防止电源电压较低时CPU产生错误的动作。以下是系统的“喂狗”函数。
Sbit WDI=P1.7:
void WatchDog()
{
WDI=1;
delayl ms();
WDI=0;
)
2 系统中的软件“看门狗"
    硬件“看门狗”技术能有效监视程序,避免CPU陷入“死循环”或“死机”故障,但对中断关闭故障无能为力;而软件“看门狗”技术对高级中断服务程序陷入“死循环”无能为力,但能监视全部中断关闭的故障。该系统将硬件“看门狗”与软件“看门狗”相结合,互相取长补短。获得出色的抗干扰效果。

    图3所示的“看门狗”硬件电路足以应对中断服务程序出现的“死循环”故障,因此,设计软件时只需考虑监视所有的中断关闭故障即可。这样从而大大简化软件流程。系统要求每隔一段时间接口板要与任务机进行一次通讯,而通讯过程是在T0中断处理程序中完成的,同时接口板还要与相机主板完成并口通讯,而这一通讯过程是在INT0中断处理程序中完成的。这样,一旦由于某种原因T0中断或INT0被关闭,那么接口板将无法完成与任务机的 429通讯及与相机主板的并口数据通讯。系统软件 设计流程如图4所示,其中的A0、A1分别为T0、INT0中断运行的状态观测器.每当T0、I:NT0中断1次,A0、A1就相应加1。在主程序功能模块的入口处给A0、A1赋初值,并在中断处理程序中重新对A0、Al赋新值,由于整个429数据解码程序一般足够长.在解码的过程中接口板至少会分别完成与429任务机及相机主板的一次通讯,因此在每个周期429数据解算完毕的出口处分别将.A0、A1与其初值进行比较,以判断A0、A1是否发生变化,从而观测二个中断是否正常执行。若中断因干扰而关闭,A0、A1的值不发生变化,程序可转向相应函数进行出错处理,进而将程序纳入正轨。

3 结论
    用硬件电路使程序摆脱“死循环”或“死机”,用软件“看门狗”技术解除中断关闭故障,使程序重新纳入正轨。这种软硬件相结合实现“看门狗”技术的方案合理利用了硬件“看门狗”和软件“看门狗”技术的优点,使429接口板获得良好的抗干扰效果及较高的可靠性。
时间:  2006-7-7 07:49
作者: qqqq


基于RlTOS和ISP功能数字仪表系统设计实现

  
    摘要:以Atmel公司的AT89S52型单片微控制器为对象,介绍基于RTOS(多任务实时操作系统)和ISP(在系统可编程)功能的数字仪表系统的软硬件设计,同时给出硬件系统的组成和相关软件编程的实现代码。经某武器系统的调试试验表明,该仪表系统具有显示直观、准确、可靠的优点。
    关键词:RTOS;ISP;单片微控制器;液晶显示模块
引言
    Atmel公司的控制器以其集成度高、在线调试方便等特点广泛应用于工程实践领域,文中以某武器系统的前期预研为背景,讨论了数字仪表系统的软硬件实现过程,其主要功能包含:实时采集配电箱系统的6路正负模拟电压值及作战环境温度,并通过MSl2232B型液晶模块动态式显示。
1 软件特性
    随着C语言在嵌入式系统中的广泛运用,软件系统的实时性、复杂性设计要求也越来越高,用通常的单任务无限循环结构与中断服务子程序相配合的编程思想已难以完成相关的软件设计或实现较为困难,RTOS(多任务实时操作系统)是现阶段较为流行的处理方式。

    RTOS的基本设计思想是将多种系统输入和处理要求,按功能做成不同的任务函数体,并分配适当的优先级,参考Windows系统的多线程处理机制,将CPU执行时间划分成若干区段,每个任务函数体对应一个时间片段.在规定的时间内执行完后允许切换到另一个任务,因各时间段非常短,表现的是多任务实时处理特性。因为任务并非同时执行且具备跳转特性,所以整个项目管理文件内可以有多个无限循环结构,且省略了main入口函数,这是RTOS最大的特色。文中以Keil集成开发环境为平台,利用RTX5l Tiny版本来完成整个软件设计过程。
    ISP(在系统可编程)是一种适合Atmel系列器件的Flash技术,通过PC的LPT标准并行接口,能实时地将Intel格式的源程序代码下载到微控制器的相应存储区域。ISP的最大特点是简化了调试过程,提高了软件系统的可维护性,使其具备了在线升级特性。而且整个开发过程省去了反复插拔电路的操作。
2 系统硬件构成
    本系统硬件主要包括如下几个模块:AT89S52型主控制器、ADC0809型模/数转换、MSl2232B型液晶显示器、温度传感器及语音报警等。其中AT89S52型微处理器主要完成外围器件的硬件控制及相关数据解算,ADC0809采集6路模拟信号并输入主控制器,MSl2232B完成数字及相关标识字符的显示,配电系统的电压波动超出预定指标时由语音模块给出相应的报警信息。
基于RlTOS和ISP功能数字仪表系统设计实现


  



    2.1主控制器模块
    主控制器是Atmel公司生产的AT89S52型单片微控制器,与常规C51系列相比,其突出特点是具有8KB闪速式存储器(降低了对外扩存储器的要求)、256B RAM内存(可容纳更多局部变量)、8个中断源及ISP在线可编程特性。

    2.2液晶显示模块
    MSl2232B属于图形点阵系列的显示器件,具有双行显示功能,其点阵结构为122×32,通过AT89s52给出必要的数据和指令来操作MSl2232B主、辅控制器,从而完成图形、字符等相关信息的显示。该液晶显示模块还具有较强的通用性.用户用少量元件就可以构成一个完整的LCD系统。电路连接如图1所示。
    2.3 A/D数据采集模块
    由于本系统涉及多路模拟信号,故选择了AD(20809型通用模/数转换器,它采用逐次逼近方式完成A/D转换过程,其片内带有8路模拟开关,能自动锁存信号,输入电压范围是0V~+5V,因为该器件的输出具有1TrL三态锁存缓冲器结构,所以可以直接连人单片微控制器的I/O口。相关的接口连接如图2所示。
    需要说明的是,在本系统6路电源信号中只有一路负电源信号符合ADC0809 0V~5v的输入特性,因此必须配备相应的反相电路,则通过LM358型运算放大器来完成。利用LM358还可以扩展监测范围(以降低测量精度为前提),这对于精度要求不太高的场合是可行的。图2中PC817型光耦用于检测负电压信号,当IN4为低电平信号时对应负电压信号,此时在MSl2232B的相应行位置给出“-”号标志,这不仅解决了正负电压同行显示的兼容性问题,而且减少了软件设计量(由6路简化为3路),同时使系统实时性相应提升。

    2.4温度传感器模块
    DSl8820是Dallas公司推出的l—Wire式数字温度传感器,它能实时采集现场环境的温度数据,并将相应的值转换为数字量输出。该温度传感器与AT89S52的连接如图3所示。
    2.5语音报警模块
    该模块主要实现异常情况下告警信息的输出,只要配电箱电压信号的波动值超出一定的范围,音频告警装置即给出不同的频率信号使蜂鸣器发声,以提示相关操作人员注意。
3 系统软件设计
    按RTOS系统的程序结构要求,软件工程主要由如下4部分组成:初始化、数据采集与A/D转换、显示子程序、温度监测与告警模块。

    如果将初始化设置为任务0,那么除了要完成相应的硬件配置与变量赋初值外,还必须启动所有其他任务,另外,因为初始化过程只须进行一次,所以Task 0还应当删除其自身。具体的程序代码如下所示:
void Init(void)_task_Intial
{
variable=0;
LcdInitialO;
ClrScreenO;
0s_create_task(AI)C0809I)ata);
os_create_task(DSl8820Temperate);
0s_create_task(LCMI)isplay);
0s_delete_task(Intial);
}
其中相关的宏定义变量值为:
#define Intial O
#define ADC0809Data 1
#define DSl 8820Temperate 2
#define LCMDisplay 3
    在Keil集成开发环境下调试本程序时,除了要包括相应的头文件(rtx51tny.h)外,还必须设置好相应的环境参数值。
    3.1数模转换子程序
    数据采集与A/I)转换子程序主要对配电箱传来的模拟信号进行采样、量化及返回值处理。软件编程时的电气时序及相关技术要求如图4所示。
    在时序图中,上升沿清空量化结果寄存器内的值,下降沿启动,A/D转换;采样启动脉冲的高电平状态至少应维持100μs;EOC变为低电平表示转换过程正在进行,且启动信号的硬件迟滞效应时间至少应为10μs;MSB对应AT89S52并口的:P0.7,LSB对应P0.0。
关键部分的程序源代码及注释如下:
unsigned int AI)C0809(void)
{
unsigned int wait=3;
ChannelChooseA=1;
ChannelChooseB=0;
ChannelChooseC=0;
ADStartPulse=0;
ADStartPulse=1;//产生A/D转换的启动脉冲
(上升沿部分)
delay();//保证启动脉冲的高电平宽度
至少大于100μs
ADStartPulse=0;//产生A/D转换的启动脉冲
(下降沿部分)
wait--;
wait--; //指令延时以保证达到:EOC
变为低电平所需的硬件迟滞要求。
‘whilefADConvertEOC==0):
return(Result);/将A/[)转换后的量化值返
回到主函数中。
}
3.2液晶显示模块
MSl2232B型图形点阵液晶显示(LCM)模块左右分为Master和Slave控制器,上下共分4页,左边列地址为0-61,右边列地址为0-61,对该器件的操作关键是按时序图正确配置好主、辅控制器的工作状态。利用AT89S52访问LCM时有二种常用的方法,即存储器映射寄存器寻址和模拟接口时序,本系统采用后一种方法。主要函数模块源代码分析:
void MasterWriteD(unsigned char Ramdata)
//向Master控制器写数据
{
ReadOrWrite=0;
InstructionOrData=1;
MasterEl=1;
P0=Ramdata;
MasterEl:0;
}
void MasterWriteI(unsigned char Ramdata)
//向Master控制器写指令
{
ReadOrWrite=0;
InstructionOrData=0;
MasterEl=1;
P0=Ramdata;
MasterE1=O;
}
void ReadState(void) //检测主、辅控制器工作状态
{
ReadOrWrite=1;
InstructionOrData=0;
MasterEl=1:
SlaveE2=1;
PO=0xff;
while(BusStateBusy==1);
}
void SetPage(unsigned char page0,unsigned char pagel)
{
MasterWriteI(0xb8 | pagel);
SlaveWriteI(0xb8 | page0);
}
void SetColumn(unsigned char address0,unsigned char addressl)
{
MasterWriteI(0x3f & addressl);
SlaveWfiteI(0x3f & address0);
}
//在主控制器操作的左边LCM屏上显示一列信息(由8个像素点构成)
void MasterPutChar(unsigned char masterchar)
{
ReadState();
MasterWriteD(masterchar);
}
一般说来。AT89S52的RAM存储空间是有限的,而图形或字符的点阵代码(可以下载相关的应用插件,由.bmp文件或字符自动生成)如果太大,放置到RAM区会出现内存不足的告警提示,为此可按如下方式处理:
unsigned char code Dotn[]=lOxOO,Ox00,0x30,
0x00,0x30,Ox00,Ox00,0x00};
即将点阵代码通过code关键字限定后放于ROM区域内。
    3.3 DSl8820的1-Wire子程序
    DSl8820的突出优点是将现场采集的环境温度直接以数字形式输出,这样可以省去后续的信号放大及模/数转换部分,构建外围电路的元件少且相对简单,系统成本低廉;1-Wire接口与AT89S52通信,其结构便于多点测量且易于扩展;测温范围宽(-550℃~1250℃)且精度高。
    对l-Wire的操作关键是软件时序的处理,对于不同的晶体振荡器其延时参数是不同的,本系统以12MHz为例给出如下主要程序代码:
bit DallassReset(void)
{
unsigned char presence=0;
DallassDataout=1; //发出复位脉冲前先释
放总线
delay(10);
DallassDataout=0;
delay(240);//保证延时在4801μs~9601μs之间
DaUassDataout=1;
delay(35);
Dresence=DallassDataout;
delay(60);
return(presence);
}
//写时序从主控制器把总线拉低时开始
void writeCommand(unsigned char command)
{
unsigned char count;
for(count=O;count
{
DallassDataout=0;
if(command&0x01==1)
{
DallassDataout=1;
//写“1”时序在将总线拉低后,AT89S52主控制器必须在15μs内释放总线,所以此语句之前不能有较长时间的延时语句
}
delay(35);//无论写“1”还是写“0”时序都必须有至少601as的低电平 DallassDataout=1;//总线恢复的过程
command=command>>1;
}
}
//读时序从主控制器将总线拉低至少1μs后释放总线的时刻开始
unsigned char readdata(void)
{
unsigned char value;
unsigned char midtemp=0;
for(value=0;value
{
I DallassDataout=0;
midtemp=midtemp>>1;
-nop_O;
_nop_O;
DallassDataout=1;
//读时序时,控制器必须在15μs内释放总线,然后采样总线状态,所以此语句之前不能延时太长的时间的延时语句
if(DallassDataout==1)
{
midtemp=midtemp | 0x80;
//因为midtemp初始被赋值为0,所以如果采样总线状态为0则不必处理,0会自动被返回
}
delay(35);
//延时70μs完成此次Bit
位读时序过程,为下一次读作准备
}
return(midtemp);
}
void delay(unsigned char out)
{
unsigned char in;
for(in=out;in>O;in--);
}
4 ISP的结构原理
    将ISP功能集成到成型的PCB上就可以实现程序的实时更新与升级操作,其结构原理如图5所示,这对于复杂工程系统设计显然是很方便的,也是切实可行的。

5

5 结论
    随着智能仪器仪表技术的发展,显示模块的液晶化已成为一种较为流行的趋势。本文给出的软硬件设计方案已在某武器系统的调试过程中得到正确、可靠、稳定的运行。它不仅克NT模似仪表体积大、故障率高的缺陷,而且由于运用了RTOS技术,使数据检测的敏捷度得到大幅提升。另外,ISP功能的在线集成也为系统功能的扩充预留了空间。


时间:  2006-7-7 07:49
作者: qqqq


MAX1148型高精度14位串行A/D转换器

  
    摘要:MAXll48是Maxim公司2005年最新推出的14位串行模/数转换器。文中介绍了MAXll48的特点、结构和工作原理,给出了它在8位CPU为核心的数据采集系统中的应用实例。
    关键词:模数转换器;MAXll48:串行
1 概述
    模/数转换器(ADC)是现代测控中非常重要的环节。它有并行和串行两种数据输出形式。并行ADC虽然数据传输速度快,但有引脚多、体积大、占用微处理器接口多的缺点;而串行ADC的传输速率目前已经可以做得很高,并且具有体积小、功耗低、占用微处理器接口少的优点。因此,串行ADC的应用越来越广泛。
    MAXll48是Maxim公司最新推出的一种真差分、8通道、14位逐次逼近、串行输出模/数转换器。处理器接口多的缺点;而串行ADC的传输速率目前 该器件具有转换速率高、功耗低、接口方便的优点,特别适用于工业过程控制、高精度数据采集、便携式数字仪表、医疗仪器等领域。
2 MAX1148的特点和结构
    2.1 MAX1148的特点

● 8路单端或4路差分输入(内置多路模拟开关,由软件设置)。
● 单极性模式时输出为二进制模式;
双极性模式时输出为二的补码格式,1LSB=(VREF/2N);
数据在SCLK下降沿同步输出,MSB先出。
● 5V±5%单电源。
● 内部基准电压+4.096V或外接基准。
● 采样速率:(116ksps);
120Aμ(10ksps);
12Aμ(1ksps);
300Aμ(关断模式)。
● 内置T/H(跟踪/保持)电路。
● 内部时钟或外部串行时钟(频率范围为0.1MHz~2.1MHz),可通过设置控制字中的PD1、PD0位进行选择。
● 提供一个硬关断(将SHDN引脚置低)和两个软关断(通过编程设置控制字中的PD1、PD0位来实现)模式。
● 与SPI/QSPI/MICROWIRE接口兼容。
    2.2 MAX1148的结构
    MAX1148采用14位逐次逼近寄存器(SAR)和输入跟踪/保持(T/H)电路,实现将模拟信号转换成14位数字信号,并用串行方式输出的功能,其内部结构如图1所示。

MAX1148采用20引脚的功能如下:
● CH0-CH7(1-8):模拟输入端。
● COM(9):公共输入端。单端模式下为模拟负输入。单极性和双极性模式下,当转换器输入不为0,而希望转换结果为0时,需在该端输入相应模拟电压。
● SHDN(10):关断输入,低电平有效。当SHDN置低时,转换器进入硬关断模式,转换立即中止。
● REF(11):内部基准电压输出或外部基准电压输入,它是模数转换的基准电压。该电压决定了ADC输入范围和满量程输出值。
    MAX1148含有一个内部1.25V带隙基准,通过一个2kΩ电阻接至基准缓冲器并引至REFADJ引脚。由于MAX1148的基准缓冲器具有3.277V/V的增益,所以基准缓冲器输出引脚 REF直的电压为4.096V,作为内部基准电压使用。
    如果使用外部基准电压,则有两种使用方式:
(1)禁止内部基准缓冲(将REFADJ端与VDD端直接相连),将外部基准电压(1.5V至VDD+50mV,输出电流大于210μA)接至REF端即可(如图3所示)。
(2)将外部基准电压连至REFADJ端,通过内部基准缓冲器,在REF引脚得到幅度为外部基准电压乘以基准缓冲器增益3.277后的SAR ADC基准电压(如图4所示)需要注意的是,在REF引测得的基准电压值必须在1.5V至VDD+50mV之间。
●REFADJ(12):带隙基准输出和基准缓冲器输入。REFADJ连至VDD时禁止内部带隙基准和基准缓冲放大器,其用法如前所述。
●AGND(13):模拟地。
●DGND(14):数字地。
●DOIJT(15):串行数据输出。CS置低时,数据在SCLK下降沿同步输出。CS置高时,DOUT为高阻态。
●SsTRB(16):串行触发输出,反映了ADC转换状态。
在内部时钟模式下,ADC转换开始时,SSTRB由高变低,转换完成后,SSTRB由低变高并保持两个SCLK时钟周期为高电平。从第三个SCLK时钟周期开始,DOUT输出转换结果。
在外部时钟模式下,ADC转换开始时,SSTRB由低变高并保持两个SCLK时钟周期的高电平。从第三个SCLK时钟周期开始,在进行ADC转换的同时,DOUT输出转换结果。置高时,SSTRB为高阻。
●DIN(17):串行数据输入,用于输入控制字。CS置低时,数据在SCIK上升沿同步输入。CS置高时,DIN为高阻态。
●CS(18):片选输入,低电平有效。只有CS置低时,数据才可同步输入(DIN)或输出(DOUT)。
●SCLK(19):串行时钟输入,是数据同步输入或输出的移位信号。在外部时钟模式下,无论是SCLK还是ADC转换时钟.都决定了转换速率(SCLK的占空比必须在40%至60%之间)。
●VDD(20):电源输入。用0.1μF电容器接至AGND。

3 工作原理
    3.1控制字格式
    在启动MAXll48进行A/D转换之前.必须先由SCLK将控制字从DIN端送入其内部输入移位寄存器,以决定其工作模式并启动转换。

    3.2时钟模式
    MAXll48可用外部串行时钟或内部时钟两种模式来完成逐次逼近转换。但是,不管哪种模式,数据的移人和移出都要由外部时钟SCLK来完成。
    在外部时钟模式下,通过外部时钟SCLK控制数据的移入和移出,同时SCLK还是模数转换时钟。在控制字的最后一位移人后,SSTRB由低变高并在保持两个SCLK时钟周期的高电平后变低。其后14个SCLK的每一个下降沿决定逐次逼近转换结果每位的值,并在DOUT端输出。需要注意的是,每次模数转换都必须在较短时间内完成,以避免采样保持电容器上的压降对转换结果带来的影响。如果外部串行时钟SCLK的频率低于100kHz。或者由于串行时钟的不连续使得转换时间超过140μs,建议使用内部时钟模式。
    在内部时钟模式时,MAXll48自身产生转换时钟,并允许微处理器以小于2.1MHz的时钟频率读取转换结果。在控制字的最后一位移入后,SSTRB由高变低,待转换完成后由低变高,完成一次转换的时间最长为8.0μs。在整个转换期间,为了得到最佳的噪声性能,SCLK应保持低电平。在SSTRB变为高电平之后,从第二个SCLK开始的每一个SCLK下降沿,在DOUT端由高到低依次输出转换结果的各位的值。
    3.3 A/D转换过程
    MAXll48在时钟脉冲的作用下进行逐次逼近式A/D转换,一般每24个时钟周期完成一次转换和读出操作。内部时钟模式与外部时钟模式的时序如图5、图6所示。
    A/D转换速度要求不是很高时,常选用内部时钟模式。现以内部时钟模式为例说明MAXll48的工作过程:
    首先根据系统要求确定MAXll48的控制字,例如,需转换0通道的单端单极性模拟量,控制字为8EH。然后向MAXll48输入控制字并读取转换结果,其步骤为:
(1)使片选端CS变为低电平并保持不变,此时DOUT处于低电平,SSTRB处于高电平;
(2)在DIN输入端由高到低依次输入控制字各位的值。
具体做法是:首先使DIN端为高电平(输入“l”),在第一个SCLK的上升沿将DIN的第一位数据“l”移入内部移位寄存器中。即移入START开始位:以此类推,在后面7个SCIJK的上升沿分别将输入DIN端的控制字其他位移入内部移位寄存器中;
(3)当控制字的最后一位数据被移入之后(第8个SCLK的下降沿),转换开始,SSTRB由高变低;
(4)经tcoNv之后,A/D转换结束,SSTRB由低变高。
(5)在转换结束后的任何时刻,通过SCLK时钟将移位寄存器中的转换结果(14位二进制数)由DOUT端同步移出。具体做法是:从SSTRB置高后的第二个SCLK时钟的下降沿开始,利用SCLK时钟逐一将转换后的结果从DOUT端移出,最高有效位在前。

4 MAXll48的应用举例
    MAXll48通过DIN、DOUT、SCLK、SSTRB和CS5个信号与微处理器连接,其中,SSTRB是反映ADC转换状态的标志.可以用查询方式和中断方式监视此信号,以决定何时读取转换结果。如果是外部时钟模式或内部时钟模式中的软件延时,此信号可以空置不用。MAXll48与8位微处理器的典型连接如图7所示。
    下面给出内部时钟模式下完整的转换和控制程序(转换结果在30H和31H中),以供参考:
START:CLR P1-3 :SCLK为低电平
CLR P1.0 :片选有效
MOV A,#lXXXXXl0B :控制字送A
MOV R1,#08H :输入控制字位数
LPl:MOV C,ACC.7 :取控制字并送至DIN端口
MOV P1.1,C
SETB P1.3 ;DIN端口数据移入内部移
位寄存器
CLR P1.3
RL A :控制字移位
DJNZ R1,LPl
LP2:JNB P1.4,LP2 ;检测SSTRB,等待转换结束
SETB P1.3
CLR P1.3
CLR A
MOV R1,#06H ;读入高6位数据
LP3:RL A
SETB P1.3
CLR P1.3
MOV C,P1.2
MOV ACC.0,C
DJNZ R1,LP3
.MOV 30H,A
MOV R1,#08H ;读入低8位数据
LP4:RL A
SETB P1.3
CLR P1.3
MOV C,P1.2
MOV ACC.0,C
DJNZ R1,LP4
MOV 31H,A
STAY:SJMP STAY
END
    外部时钟模式编程与内部时钟模式基本相似, 只需把控制字改为#1XXXXXllB,并删除上述程序中标号为U)2的程序行即可。

5 结束语
    随着电子技术的不断发展,各种串行接口电路的应用越来越多.如串行A/D、D/A及各种接口电路等。与一般ADC器件相比,MAXll48具有单电源供电、自带内部基准电压、转换精度高、外围电路简单、占用微处理器口线少、易于连接等优点,适用于较复杂的测控系统。

时间:  2006-7-7 07:49
作者: qqqq

新型指纹识别传感器的应用分析
  




    目前市场上有两种固态指纹传感器:第一种是单次触摸型传感器,要求手指在指纹采集区进行可靠的触摸;第二种则需要用手指在传感器表面擦过,传感器会采集一套特定的数据,然后进行快速分析和认证。这两类指纹传感器将得到越来越广泛的应用。

    上述两类传感器工作原理为:当指纹中的凸起部分置于传感电容像素电极上时,电容会有所增加,通过检测增加的电容来进行数据采集。传感器中的像素点为45平方微米,间隔为50微米,电容像素阵列的分辨率略高于500dpi。这类传感器基于一种标准的单-多晶硅三层金属CMOS工艺,并采用0.5微米工艺进行设计。

    金属互连的第三层构成电容像素层,由氮化钛制成并覆盖着一层氮化硅,厚度仅为7000埃米。这种硬金属电极与抗磨涂敷层组合形成的传感器十分坚实耐用,使用寿命可以达到很多年。

    指纹检测

    人类的指纹由紧密相邻的凹凸纹路构成,通过对每个像素点上利用标准参考放电电流,便可检测到指纹的纹路状况。每个像素先预充电到某一参考电压,然后由参考电流放电。电容阳极上电压的改变率与其上的电容成下面的比例关系:

    Iref=C×dv/dt

    处于指纹的凸起下的像素(电容量高)放电较慢,而处于指纹的凹处下的像素(电容量低)放电较快。这种不同的放电率可通过采样保持(S/H)电路检测并转换成一个8位输出,这种检测方法对指纹凸起和低凹具有较高的敏感性,并可形成非常好的原始指纹图像。

    通过图1所示的过程,采用复杂的软件算法可以进行指纹识别。这种软件采集原始的指纹图像,将图像信息数字化并提取其中的细节模板,然后进行测试,确定提取的细节模板是否与参考模板吻合。


    比较过程

    单触型传感器与划擦型传感器的尺寸和成本都不一样。接触式传感器较大,通常有效接触面为15×15mm,可迅速地采集最大的指纹或拇指指纹。这种传感器易于使用,并可将整个指纹图像以500dpi(自动指纹识别标准)的精度进行快速传输。

    目前这些传感器已完成设计,并用于美国政府机构及警察局进行指纹识别。不久的将来还将逐渐用于汽车单触式无钥匙进入系统,以及新兴的国家安全应用中。

    这种传感器由256(列)×300(行)微型金属电极组成,每一列连接到一对S/H电路上。指纹图像依次进行逐行采集,每个金属电极均作为电容的一个极,与之接触的手指则是电容的另一个极。在器件表面有一层钝化层,作为两个电容极间的电介质层。将手指置于传感器上时,指纹上的凸起和低凹会在阵列上产生不同的电容值,并构成用于认证的一整幅图像。

    划擦型传感器是一种新型指纹采集器件,要求用户将手指在器件上划过。划擦型传感器的优点是尺寸小(如富士通的MBF300尺寸仅为3.6×13.3 mm2)和成本低。这些器件主要用于移动设备的嵌入式安全识别应用,如手机和PDA。精密的图像重建软件以接近2000帧/秒的速度快速地从传感器上采集多个图像,并将每个帧的数据细节组织到一起。

    信息及认证

    毫无疑问,便携式低成本指纹识别技术对我们的生活意义深远。例如,今后警察可在一个犯罪高发区截住一名嫌疑人,要求其提供指纹而不是身份证或汽车驾照。此人则将其右手的第一、二或第三个手指置于一个与无线PDA相连的传感器上,可以迅速将嫌疑人与以前的犯罪记录进行对比确认。

    这种识别技术对于被盗的手机用户也有好处。手机开机时要求用户通过一个快速的认证过程,用户将其手指划过传感器,如果通过认证则授权使用手机的各项功能。如果不是授权用户,手机便继续保持锁住。如果连续几次认证无法通过,则手机会删除存储器中的关键信息然后关机。

    在语音邮件的应用中,当拨出一个语音邮件号码后,用户只需将手指划过传感器便可令系统识别。有了指纹识别后,便无需使用邮箱密码或个人识别号码。

    在今后的汽车应用中,用户可输入家庭成员指纹样本,经鉴权才能驾驶。注册过程十分简单:每个授权驾驶的成员将其手指置于传感器上,并将汽车的各种参数按个人爱好进行设置,然后将这些设置存入车载的电脑存储器中。

    当驾驶者进入汽车时,他/她将手指置于传感器上,启动识别过程。不到一秒钟,电脑将检测到的指纹模板与存储的模板进行比较,并建立一个与驾驶者相符的相关设置。指纹模板和匹配软件保存在汽车内的一个嵌入式模块中。当指纹匹配成功时,汽车便按已编程设定的内部参数来控制后视镜、汽车座椅、无线基站以及车内空气环境。此外,还可控制驾驶速度,如果驾驶者仅为十来岁的孩子,则将速度限制在每小时55公里。这些功能的实现具有非常多的用处。
    使移动互联网接入更加安全

    随着半导体和软件技术的发展,手机将逐渐成为一种可随时随地获取个人和公司数据的移动终端,因此需要确保用户访问的安全性,以防止未授权访问。原来执法机构使用的指纹识别方式仅存储指纹上一些特定点的数据而非整个影像,因而相比之下,生物指纹扫描系统更为有效、可靠。

    这类检测的所有处理过程均分为下面几个步骤:首先是采集阶段,器件采集手指生物样本;然后利用预先建立的数学公式或算法从样本中提取其独有的数据,并将其转换成一个模板;登记认证程序从指纹的30~40个特征点中至少提取七个特征匹配点进行验证,包括构成某一指纹细节的纹路分叉点和终止点,并被定义成特征点间的距离。

    进行注册时,信息代码被存储下来,作为今后用户认证的参考模板。当用户进入系统时,他/她将手指划过传感器区域,所获取的现场扫描模板与参考模板进行比较。整个过程在1或2秒内完成。

    通过比较,系统会确定这一现场扫描模板是否包含了与参考模板相符的足够生物数据,并判断二者是否匹配。如果不匹配,则认证失败,等待下次识别。

    这种指纹检测系统性能很高,对有效指纹作出错误判断的概率小于1%,而将无效指纹错判为有效指纹的可能则几乎不存在,其概率低于0.01%。



时间:  2006-7-7 07:49
作者: qqqq


面向下一代MSPP分布式交换架构


  
    随着TDM和分组交换技术的日益发展和相互渗透,下一代多业务提供平台(MSPP)需要采用新的交换方法来适应这种变化。TranSwitch公司开发出一种分布式交换架构,能有效节省纯TDM和混合TDM以及分组交换网络单元(NE)方案的生命周期成本。相对于集中式TDM交换架构,TranSwitch的分布式TDM交换架构从成本、功耗冗余度和性能三方面解决了这个问题。
    与传统的集中式TDM交换架构不同,TranSwitch的分布式交换架构并不要求采用集中冗余交换卡。这种架构通过利用一种高度集成且具性成本效益的技术,将一个单独的元件(见图1)配置在线路卡或支路卡(tributary card)上,从而能对所有TDM交换功能提供支持。
    这种架构的优点是,所有级别的交换和性能监控都能在任何线路可到达的路径上直接执行,无需采用单独的卡或设备。此架构可支持回接(loopback)功能,回接被作为交换功能的一部分。由于互连所用的单元很少,因此时延可达到最小。交换和保护功能在当地每个支路卡完成。这样,保护交换的速度就与端口数目无关,因而在一个满负载机架上执行的交换操作与在单独支路卡上的交换操作同样快速。所有的路径数据都分配给每一个支路卡,从而使得SNCP、MS-SPRING、1+1、1:N和1:1这类保护方案以及Bridge&Roll等功能的实施更加简化。
图1:面向下一代MSPP系统的分布式交换架构。


    除了SONET/SDH成帧、开销处理和高阶、低阶指针处理以外,每个部件还针对高阶和低阶路径信号结合了严格无阻塞的交叉连接。从任何线路卡到支路卡,其他线路卡甚至支路卡之间,均采用集成高速串行链路传送信息。同时,对具有任意粒度(VT.15/VC-12到STS-48/STM4-4c)的所有SONET/SDH通信进行全面性能监控,也保证了分布式交换架构完全符合ITU-T和Telcordia的建议。
    与集中式交换架构相比,分布式交换架构具有以下优势:
1. 系统成本线性可调
分布式架构并不需要对全冗余交换架构进行初始投资,因此,整个系统不但初始成本下降了60%以上,而且还支持真正的线性可调系统成本(见图2)。
2. 系统成本更低、业务处理能力更强
由于分布式架构不需要冗余的集中式交换架构,因此即使系统完全装备,成本也较低。由于用于集中式交换架构的两个插槽未用,分布式架构每层还可另外容纳两个线路卡或支路卡,从而能提供更高的业务处理能力。此外,由于需要测试和检验的系统部件较少,因此系统的设计、测试和综合NRE也减少了。
3. 系统功耗更低、功率分配更合理
    与集中式交换方案相比,分布式系统的功耗与配置的元件成正比。而在集中式架构中,交叉连接器件是针对最大系统容量设计的,即使实际只用到很少一部分,功耗也很大(通常每个器件是7到15瓦)(见图2)。而且,集中式交换架构通常对机械设计和散热要求更高。分布式架构为运营商降低了系统的生命周期成本,因为只需支付电费以及为实际使用的设备电路建造HVAC的费用,而无需为热备份容错和尚未使用的业务容量支付费用。
图2:集中式与分布式交换架构的成本和功耗比较。


4. 固有容错能力、保护方案简单
分布式架构不需要单独的交换卡,因此增强了整个系统的实用性。系统不易出现单点故障,具备固有容错能力。当需要从故障中恢复时,分布式交换架构不必象集中式交换架构那样进行复杂的时隙互换(TSI)操作。
5. 服务速度快
服务速度即系统实现业务改变或响应网络配置改变的能力。分布式交换架构配置简单快速,只需将支路或线路资源指定给某个连接。当服务供应商采用UPSR/SNCP这样的网络保护机制时,最重要的标准就是故障检测和服务恢复之间所用的时间。分布式交换架构有个优点,那就是只在UPSR/SNCP汇点(sink)等需要的地方实现保护机制。因此,某个支路卡的故障恢复就不会像集中式资源中那样受软件或容量、延迟的限制。
6. OAM&更快更简单
任何电信级系统在运作、管理、维护和供应(OAM&)的开发和测试上的投入很容易就达到硬件开发投入的2到3倍。但如果采用分布式交换架构,这些软件投入就可以大大降低,因为分布式交换架构既不需要容错算法,也不需要复杂的路径搜索算法。同时,供应和恢复行为所需的人工操作的复杂度也可以大幅降低。
时间:  2006-7-7 07:50
作者: qqqq


单片机CCFL控制器改善大屏幕LCD TV图像
   

  
    虽然LCD背光有多种方式,例如LED、EL、CCFL等,但对于大尺寸LCD屏来说,由于CCFL发光效率高而成为主流背光光源。传统的LCD屏主要用于笔记本电脑或台式电脑,背光组件在有一定亮度的前提下,还要尺寸小和重量轻等,大多采用侧面背光方式(CCFL灯管安装在屏的二边或四周,通过导光板将光漫射到整个屏幕后面);而LCD TV特别是大尺寸LCD TV,由于对显示亮度(450cd/m2)、视角广角(170°)、图像对比度(500:1)等有更高的要求,因而需要采用光源利用率更高的垂直背光技术。
1 垂直背光

    垂直背光,顾名思义,是将CCFL灯管直接安装在LCD屏后面,并利用全反射膜将发散到其他方向的光线反射到屏幕发光面,以提高光源到利用率。虽然垂直背光解决了大屏幕LCD TV的亮度、视角广度等问题,但由于主要是靠增加灯管数目实现的,灯管数目从几只到几十只不等,因而存在以下问题:
(1)均匀度较低,这主要是因为灯管数目较多所致,结果使屏幕出现明暗条纹,如果各灯管亮度不一致,现象更明显。
(2)屏幕色差,灯管数目多虽然提升了亮度和辉度,但也使背光组件的发热量增大,而液晶分子由于对温度敏感度高,易造成高温下屏幕色彩异常;除此之外,CCFL灯管本身在高温下的寿命也会缩短。
    有鉴于此,设计CCFL逆变电源时需要仔细控制每只灯管的电流,以保证亮度一致,在灯管确定的情况下尽量提高逆变电源的转换效率以减小发热量。

2 多路CCFL控制器
    为了降低成本,一些CCFL逆变电源采用图1所示的方案。它对于灯管数目较少且采用侧面背光方式的小屏幕LCD不失为一种低成本、小尺寸优选方案,通过导光极的漫射作用可消除灯管亮度不一致的缺陷,由于灯管少(2~4只),全桥电路中流过MOSFET的电流也不太大,对变换器效率影响也小。
    对于大尺寸LCD TV,为了增加亮度,提高对比度,灯管数量大大增加,有时可能达到30多只,如果采用上述电路,每只灯管的亮度难以通过外部参数控制,会出现严重的条纹干扰现象。不仅如此,由于此时桥路电流很大,将使导通损耗(I2×RON)随着灯管数量而急剧增大,比如16只灯管的导通损耗将是2只灯管的64倍;灯管为32只时,其损耗将为2只灯管的256倍,为单只灯管的1024倍,导致严重的发现现象,使背光组件的温度急剧上升,会引起LCD色彩异常,还会导致CCFL灯管寿命缩短。当然可以采用多个1拖2或1拖4的方案来大大减轻发热量,但条纹干扰仍然存在,而且由于每个电源模块的差异,还将引入块状干扰。

3 单片多路CCFL控制器
    针对上述问题,DALLAS/MAXIM公司推出了DS3998型高密度单片CCFL控制器,它集成了8个CCFL控制器,分别对8只CCFL灯管的电流进行监控,使8只灯管的电流基本一致,从而实现亮度均匀控制,消除屏幕条纹现象。如图2所示,由于每只灯管有独立的驱动和控制回路,从而避免了图1所示电路因其中一只发生故障而导致多只灯管不亮,便于快速维修;另外,由于每个推挽电路仅驱动一只灯管,流过的电流小,MOSFET的导通损耗很小,也避免了发热问题,而成本仅稍微增加(一对小功率MOSFET)。DS3998不仅很好地解决了背光源亮度的均匀性和发热问题,还带来以下额外好处:
(1)通道相位控制技术降低AC/DC的电流冲击

    与图1所示方案或多个独立控制的逆变电源不同,集成了通道相位控制功能,如图3所示,每个通道的MOSFET栅极导通时间在8个通道间平均分配,降低了冲击电流并简化了AC/DC的设计要求,减小发热元件的散热面积,缩小体积,降低电源成本。

(2)E2PROM寄存器与2线串行接口
    DS3988采用标准的2线串行接口与片内的E2PROM配置寄存器及用户存储区进行通信。配置寄存器包括4个软启动特片寄存器与2个控制寄存器(CR1/2),使用户可以自定义DS3988的参数,如软启动斜率、灯管与调光频率源、故障监测选项及通道使能/禁用。8字节非易失的用户存储区用来存储产品数据,如日期编码、序列号或产品标识号,这为产品生产调试或维护带来极大方便。
(3)通过简单的级联支持更多的灯管控制
    DS3998的灯管频率有二种配置选项。DS3988作为灯管主机时,设定CR1中的LFSS=0,选择板上振荡器,并通过LOSC输入端的外部电阻器来设定频率(40kHz~80kHz)。在这种情况下,灯管频率信号从LSYNC I/O引脚输出,为其他灯管从机DS3988提供同步信号。作为灯管从机时,通过设定CR1中的LFSS=1,禁用LOSC输入,这时必须向LSYNC I/O提供外部的40kHz~80kHz时钟信号。该信号可以从灯管主机DS3988的LSYNC I/O获得,也可以从其他信号源获得。因此,多个DS3988很容易实现超过8只灯管的大屏幕LCD背光控制。

(4)精确的灯管亮度控制
    DS3988使用数字脉宽调制((DPWM)信号(22.5Hz~440Hz)提供高效率和精确的灯管调用。如图4所示,在DPWM周期的高电平阶段,以选定的灯管频率驱动灯管(40kHz~80kHz)。由于在这段时间里灯管频率突现,因此将这一时间段被称为“突发”阶段。在DPWM周期的低电平阶段,控制器禁止MOSFET栅极驱动,所以灯管不被驱动,这时电流不再流经灯管,但时间很短,不会使灯管出现完全的离子退激。调光通过调节(也就是调制)突发阶段的占空比来实现递增与递减。由于其DPWM信号产生了多种方式,因此为应用提供了很大的灵活性。软启动特性进一步降低了输入电源的电流冲击。
4 结束语
    与小屏幕液晶显示器比较,大屏幕液晶电视对显示器亮度、视角广度和显示对比度提出了更高的要求,因此需要采用垂直背光技术,同时大量增加CCFL灯管以满足要求。DS3998是针对该应用专门开发的高密度单片集成CCFL控制器,很好地解决了多灯管LCD屏幕条纹干扰及高发热导致的色度异常问题,改善了视频图像的质量,其多相位导通控制功能还降低了AC/DC电源的设计要求,减小了电源体积。

时间:  2006-7-7 07:50
作者: qqqq


用S3C2410实现铁路数据实时采集系统
   

  
    摘  要  本文阐述了一种基于ARM处理器的高性能远程监控系统的组成、工作原理及其实现方法。该系统利用高性能处理器和移动通信网络构建具有实时数据处理能力、可提供远端信息查询和告警服务的平台。
    关键词  ARM,嵌入式,监控
1  引  言
    随着列车全面提速以及铁路部门客货运输量不断增大,铁路线路负荷不断加重,铁路安全问题日益突出。目前铁道检测部门主要采用铁轨检查车检测铁轨质量,但是由于铁轨检查车价格昂贵,各机务段配备的数量有限,不能满足铁路检测部门对铁轨质量数据连续检测和积累的要求。因此铁道检测部门迫切需要一种可靠性高,实时性强的小型智能系统作为铁轨检查车的补充。针对这种情况,本文设计了一种新型铁路线路质量远程实时监测系统。本系统综合利用移动通信和嵌入式系统设计等技术,实现了铁轨数据的实时采集、分析、传输,以及自动评估和报警。
    ARM技术是嵌入式系统方面的主流技术。目前市场上ARM芯片速度可达几百兆,以此为主控芯片可在硬件上实现高速、高精度且具有一定处理能力的数据采集处理通信系统。本系统采用的Samsung公司的S3C2410处理器,结合Windows CE操作系统来实现其功能。S3C2410处理器是一款低价、低功耗、高性能的16/32位系统微处理器,在嵌入式应用领域有着良好的表现。S3C2410具有丰富的接口资源,能够满足本系统的设计需求。Windows CE操作系统是专门为掌上型电脑设计的电脑环境,它将便携式技术和现有的Windows技术相结合,并提供了丰富的驱动资源。本系统在设计中采用了CMDA模块和USB接口。原始数据可通过USB接口取出,进一步进行事后处理。本系统通过CDMA模块连接无线网络,将处理完毕的数据实时地送到客户端,以实现特定信息查询和告警功能。
2  系统工作原理
    系统分为ARM处理器模块、协处理器FPGA模块、A/D模块、传感器模块和外部通信模块5部分,基本结构如图1所示。ARM处理器和现场可编程门阵列(FPGA)共同组成监控系统的核心处理单元。传感器模块采集的模拟信号经A/D模块量化后,存入FPGA生成的FIFO中;ARM处理器通过FPGA产生的中断信号来读取FIFO中的数据;数据处理完毕后ARM处理器通过FGPA控制CDMA模块建立TCP/IP连接,连接一旦建立则通过无线网络将数据传回客户端。
图1  系统结构框图

    2.1  协处理器FPGA模块
     本系统的协处理器采用Xilinx公司的FPGA,型号为SPARTANIIE XC2S100E。该芯片共有2700个逻辑单元,10万个逻辑门,片内块RAM为40 KB。协处理器内部结构见图2。其具体实现以下功能:
A/D模块读/写时序控制;
生成3个FIFO,其主要功能是存储经A/D编码的数据;
提供ARM处理器控制信号。
    FPGA芯片分4部分来实现上述功能:
    (1) 内部控制信号产生器
    FPGA对50 MHz时钟分频产生A/D芯片采样时钟Sampleclk和ARM处理器外部时钟Sysclk;Sysclk经锁相环电路(PLL)后产生ARM处理器工作所需的时钟信号。
    (2) ARM控制器
    提供ARM处理器正常工作所必须的各种控制信号;实现ARM处理器地址总线、数据总线和外部中断信号接入。
    (3) A/D控制器
    控制A/D模块的数据转换。产生A/D转换起始信号(/HOLD),检测数据转换完成信号(/EOC),产生FIFO写入信号,实现数据转换通道选择。
    (4) FIFO存储器
    生成3个16位、数据深度为511的FIFO,完成A/D转换数据的存储。
    2.2  ARM处理器模块
    ARM处理器模块由FLASH、SDRAM和S3C2410共同构建。本系统选配用Samsung公司的K9F1208U0A构建8位FLASH存储器系统。K9F1208U0A单片容量为64 MB;选用两片单片容量为32 MB,数据宽度为16位的HY57V561620CT,并联构建32位SDRAM存储器系统,共64 MB的SDRAM空间可以满足嵌入式操作系统和各种复杂算法的运行要求。ARM处理器对各模块的控制则是通过底层驱动控制协处理器FPGA产生各种控制信号来实现。
图2  协处理器内部结构图

    2.3  A/D模块
    A/D转换芯片采用TI公司的ADS8364芯片,它具有以下性能:
6个独立数据输出通道;
模拟信号差分输入;
6个独立的16位ADC;
采样频率最高为250 MHz;
采样精度到最后两位,且抗噪性能好。
    通过FPGA内产生的采样时钟信号控制A/D模块的采样频率。FPGA输出宽度为一个时钟周期的低电平脉冲到/HOLD_X引脚,A/D转换开始,经16.5个时钟周期后A/D转换完成。A/D模块根据FPGA内产生的读信号和通道选择信号选择相应的FIFO存储数据。
    2.4  传感器模块
    传感器模块可以根据所须采集的数据来选择。本系统主要运用于火车机车车体震动测量,所以采用3个使用ADXL105高精度单轴加速度传感器芯片制作的加速度测量模块。测量模块分别安置于车体底部的垂直方向和水平方向,用于测量车体在X、Y、Z方向上的加速度。测量数据以差分信号的形式输入到精密放大器中,经比较放大后直接送到A/D模块中。
    2.5  外部通信模块
    外部通信模块由两部分组成: 485通信模块和CDMA模块。
    485通信接口采用的是MAXIM公司的MAX1490芯片。这是一款完全隔离的485数据接口芯片,单工工作方式,传输波特率最大可达2.5 Mbps。其输出引脚直接与ARM处理器的串口2(UART2)相连;ARM处理器通过串口2读取时间和坐标等相关数据的广播信息。ARM处理器串口0(UART0)与AnyData DTGS800 CDMA模块相连;监测数据经预处理后通过CDMA模块发送到地面服务器。
3  软件设计
    软件设计主要使用Embedded Visual C++语言和VHDL语言。VHDL语言用于编写FPGA程序;ARM处理器的调试则使用C语言。

   系统开始工作后ARM处理器和FPGA协处理器中的FIFO开始初始化。经A/D转换后的数据存入3个数据输出通道对应的FIFO中。FIFO中的数据容量达到一定限度即产生中断,ARM处理器中的主程序产生中断等待线程;一旦中断产生则进入中断服务程序,读取数据。数据经检测程序进行预处理后通过CDMA模块发送出去。图3为系统工作流程图。
图3  系统工作流程图

    3.1  系统的同步处理
    因为A/D模块与FPGA协处理器上电即开始工作而ARM处理器完成系统加载,端口初始化大概需要10 s左右的时间。在这个过程中FIFO中存储的数据已经被写满。如果ARM处理器在程序加载完成后直接使用这部分数据则会产生检测结果与广播信息不匹配的问题。为防止系统因各模块工作时序混乱而出现的数据检测错误的情况。在ARM完成Windows CE系统加载并进入检测主程序后产生一个清零信号用于清除3个FIFO中的数据。实现系统的同步工作。
    3.2  中断的产生及处理
    在本系统中如果对A/D转换数据采用实时读取的方式,则必然导致ARM处理器工作效率较低,所以在电路设计时采用了中断方式。A/D模块输出数据以循环方式分别写入3个FIFO中。一旦FIFO中可使用数据容量减小到一定限度则产生中断,ARM处理器进入中断服务程序并读取FIFO中的数据。
    3.3  列车广播信息读取
    火车机车广播信息通过监控设备不断地发送广播信息。信息传输以标准的RS485传输协议进行,通信波特率为9600 bps,采用10位异步通信方式:1位起始位+8位数据位+1位停止位。每组数据包由17字节数据组成,包括月日时分秒、时速、公里标、交路号和车次。数据格式为:起始位+数据位+停止位+BCC校验。其中:起始位为1字节,固定为0x02;数据位为14字节;停止位为1字节,固定为0x03;BBC校验为1字节,是前面15字节的“异或”校验。
    软件实现:接收广播信息程序的实现主要是利用了Windows的消息机制。首先初始化S3C2410芯片的UART2口,包括设置波特率为9600 bps,配置端口,并将端口与事件绑定。然后启动线程不断侦听端口。如果有数据输入则会产生读取事件,此时线程读取一个字节的数据,并由串口将数据发送至主线程。最后,主线程通过相应函数接收数据,数据接收完毕则对数据进行长度检测和异或校验。如果检验通过则将数据转存入二级缓存(一级缓存继续存放数据),并通知上级模块读出数据;然后清空二级缓存,等待下次数据进入。广播信息读取程序界面见图4。
    3.4  加速度数据获取
    数据采集是通过应用程序与驱动程序的交互来完成的。实现交互的方式有很多,例如可以利用callback函数(回调函数)或将函数应用程序中的数据处理函数指针传给驱动,以及使用SETEVENT等来实现。考虑到软件的升级,本系统采用的是SETEVENT方法。Windows CE系统与PC上的系统存在很大差异。一旦系统的某些模块有所改动,必须重新编译Windows CE系统和烧写FLASH,工作量较大。使用SETEVENT的方法可在不改动驱动的情况下对应用程序进行调试,从而避免了反复烧写FLASH。
    软件设计思路:数据采集软件启动后必须对FIFO中数据进行复位。因为从Windows CE系统启动到数据采集软件开始工作需要15 s,但 A/D模块及FIFO模块在系统上电后即开始工作,因此如果使用这部分数据就会造成数据与列车广播信息的不匹配。驱动程序在响应中断后通过SETEVENT机制通知应用程序,此时数据读取控制权就完全交给了顶层用户。用户可以根据自己的需要来控制数据的读取及处理。数据采集程序界面见图5。
4  结束语
    本文所研制的系统综合利用了移动通信和嵌入式系统设计等技术,与传统检测设备相比,大大提高了线路监测的实时性及系统性。同时,该系统注重监测数据处理和检测方法的研究,并在实际系统中加以应用。
    本系统在设计时充分考虑了电路的灵活性和通用性,可根据不同的功能要求编写相应的VHDL语言程序。系统所使用的Windows CE操作系统可以任意裁剪,这对于功能的转换很有帮助。本系统已研制完毕,现处于现场实验阶段。
        
图4  广播信息读取程序         图5  数据采集程序


时间:  2006-7-7 07:50
作者: qqqq


基于uPSD3200的人机对话设计

  
    摘要:本文在介绍具有USB,I2C,ADC,DDC和PWM功能,嵌入8032控制器内核的uPSD3200单片机的基础上,重点分析利用uPSD3200单片机与台湾铼宝公司最新产品,内嵌SSD1303驱动芯片的超薄OLED显示屏P09703的硬件连接和软件编程,同时给出利用uPSD3200单片机一个A/D口实现32个按键的原理图,从而实现完整的人机对话设计。

    关键词:OLED SSD1303 uPSD3200 单片机
    在自动控制和智能化仪器仪表中,人机交互是不可缺少的一部分。如何选择合适的显示器件和用最少的单片机口线实现键盘输入,以便将更多的单片机口线用作其它功能控制,是每个从事自动控制和智能化仪器仪表电气设计工程师要考虑的问题。就显示器件而言,随着科学技术的发展,电子显示技术也在不断地更新换代。从传统的阴极射线管(CRT)发展到液晶屏(LCD)。现正在向新一代显示技术过渡。作为新型显示技术候选的有:等离子体放电显示屏(PDP)、有机电致发光屏(OLED)、发光二极管(LED)、电场激发发光(FED)等。目前在研究开发方面竞争得最激烈的是PDP、OLED、LCD。它们各有所长,但追求的最终目标都是一样的,即要求达到质轻、体薄、高亮度、快速响应、高清析度、低电压、高效率、长寿命、低成本。

    目前,在光电显示领域,CRT已经走向末路,LCD正处于发展的顶峰时期,与技术成熟、产业链完善、规模庞大的LCD产业相比,OLED还处于发展的初级阶段,它的优势可以从技术与产业两方面来看,在技术上:OLED很薄、很轻,厚度可以做到比LCD薄;由于是不需要背光源的主动发光,所以OLED视角很广,一般认为接近180度;并且具有省电、耐低温特性,在低温下的性能远远优于LCD;响应速度快,图像刷新率几乎是LCD的100至1000倍;除了图像质量的根本性改进外,还具有抗震性好这一特性,这对于便携式设备而言十分有利;不仅如此,由于可弯曲的塑料也可以用作基质材料,所以OLED显示屏的外形不受限制,可以是任何形状,可以放到任意物体的表面。由于使用了新的基质材料,OLED显示屏比目前最薄的薄膜电晶体管(TFT)屏幕还要平整得多[1]。台湾铼宝公司生产的内嵌SSD1303驱动芯片的超薄OLED显示屏P09703点阵数128X64,厚度仅2.05毫米,重量仅11.1克,工作温度-40℃到+85℃。下面给出uPSD3200单片机与OLED显示屏P09703的硬件连接和软件编程。

    1 uPSD3200单片机简介

    带可编程逻辑的单片机uPSD3200系列是ST公司最新推出的在Flash PSD结构中嵌入8032控制器内核(12CLOCK)的可编程器件。uPSD3200系列带两块Flash存储器,SRAM(支持后备电池),通用I/O口,带有16个宏单元的3000门可编程逻辑电路PLD,管理监控功能,并可实现USB,I2C,ADC,DDC和PWM功能,片内8032微控中心,带两个标准异步通讯口,三个16位定时/计数器和两个外部中断。何FlashPSD系列一样,uPSD3200系列同样可以通过JATG ISP接口进行在系统编程。uPSD3200系列芯片在税控收款机、POS机、微型打印机、出车计价器和GPS系统等领域有着广泛的应用[2]。在成功推出uPSD3200系列后,ST公司2004年推出内嵌高速8032控制器内核(4CLOCK)的uPSD3300系列,在原来uPSD3200系列的基础上提供8路10位ADC,IrDA,JTAG调试等增强功能,使其能更广阔的应用前景。

    2 P09703与uPSD3200的硬件连接

台湾铼宝公司最新推出的超薄OLED显示屏P09703主要特性为:
点 阵 数:128×64
点 尺 寸:  0.4×0.4 mm2
视域尺寸:  55.01×27.49 mm2
外型尺寸:  75(w) ×52.7(H) ×9.5(D) mm3
亮    度:  20~80cd/m2
对 比 度:  500:1
视    角:  >160°(全视角)
发光颜色:  黄
反应速度:  20,000小时
工作温度:  -40~+85℃
内藏控制器:SOLOMON SSD1303T

    由于OLED显示屏P09703的逻辑电平为2.4V - 3.5V,我们选用ST公司生产的uPSD3200系列单片机时,注意选用3.3V器件,我们以uPSD3234BV为例,给出OLED显示屏P09703与uPSD3234BV单片机的硬件连接图,同时给出利用uPSD3200单片机一个A/D口实现32个按键的原理图,可以大幅度减少对I/O口的占用。如图一所示,这里要说明的是由于OLED显示屏P09703内藏控制器为台湾SOLOMON生产的SSD1303,该控制器在接口控制电路内有两套时序电路,由时序适配电路设置以适配不同计算机操作时序的要求。时序适配电路的设置端为BS1和BS2。BS1和BS2的时序设置如表一所示。OLED显示屏P09703种没有串行接口,图二为P09703OLED显示屏8080时序图。

  6800时序 8080时序 串行接口
BS0 0 0 0
BS1 0 1 0
BS2 1 1 0




    3 软件编程

    在与计算机连接方面,SSD1303的接口,包括数据输入缓存器、数据输出锁存器,指令寄存器及译码器,忙状态触发器以及时序控制电路等,具有高性能的接口控制电路。计算机可以随时访问SSD1303而不需要判断其当前状态,与以前用的以T6963C控制器不同,SSD1303判断忙状态在操作上不是那么重要,因为SSD1303的接口部能够适时地接收计算机的访问。只是在计算机对显示存储器大量的数据传输时与控制部向驱动部传输显示数据相冲突,会在显示屏上出现“雪花”。但是由于这个间隙时间很短,加上人眼在视觉上的惰性而看不出“雪花”现象,有时判断忙标志再进行显示数据传输时,忙标志已经消失了。正是由于这些,计算机访问SSD1303的操作流程非常简单。

sbit  DC_PIN_NUM = P0^5;  //P0.5 数据/指令控制位,低电平—指令操作,高电平—数据操作
sbit  WR_PIN_NUM = P0^6;  //P0.6 写数据/指令控制位,高电平变低电平时写入
sbit  RD_PIN_NUM = P0^7;  //P0.7 读数据/指令控制位,低电平有效

unsigned char ReadData(void)     //从P09703OLED显示屏读取数据
{   unsigned char temp;
       DC_PIN_NUM = 1;
     RD_PIN_NUM = 0;
       RD_PIN_NUM = 1;
    temp = P4;
    return  temp;
}
unsigned char ReadCommand(void)     //从P09703OLED显示屏读取寄存器状态
{   unsigned char temp;
       DC_PIN_NUM = 1;
     RD_PIN_NUM = 0;
       RD_PIN_NUM = 1;
    temp = P4;
    return  temp;
}

void WriteCommand(unsigned char Data)   //写指令代码到P09703OLED显示屏
{     DC_PIN_NUM = 0;
       P4=Data;
       WR_PIN_NUM = 0;
       WR_PIN_NUM = 1;
}

void WriteData(uint8 Data)   //写参数及数据到P09703OLED显示屏
{     DC_PIN_NUM = 1;
       P4=Data;
       WR_PIN_NUM = 0;
       WR_PIN_NUM = 1;
}

main(){   int j, i;
InitOled();        //初始化P09703OLED显示屏,由于SSD1303软件控制指令非常丰富,该函数内容较长,在这里不做描述,详情见P09703应用笔记,这里要说明的是:InitOled()中的comm_out2()函数用WriteCommand()函数替代
for(i=0;i
时间:  2006-7-7 07:51
作者: qqqq


超薄显示屏OLED在陀螺经纬仪中的应用

  
    摘要:本文在简要介绍Solomon公司出品的CMOS OLED/PLED显示驱动SSD1303芯片的基础上,重点讨论台湾铼宝公司最新产品,内嵌SSD1303驱动芯片的超薄OLED显示屏P09703在陀螺经纬仪中的应用问题,给出了硬件电路图和软件流程图,为开发带有显示屏的便携设备提供参考。

    关键词:OLED SSD1303 ARM 陀螺仪
    陀螺经纬仪通过敏感地球自转的水平分量来测定仪器架设点真北方位的精密仪器,工作情况类似于电子经纬仪,所不同的是电子经纬仪只能测定两个目标的相对夹角,而陀螺经纬仪不仅可以测定目标之间的相对夹角,而且可以测定目标与地理北或真北方位之间的夹角。仪器工作通常在野外进行,环境条件较为恶劣。以前显示部分用液晶实现,带来的问题是除重量和体积外,低温靠加热实现,功耗大,野外作业对电池要求较高。另一个问题是采取任何措施,都无法解决太阳照射下,液晶显示不清楚这个问题,这是由于液晶显示自身特性决定的。

    OLED 在显示信息方面有许多吸引人的特点。OLED 无LCD 的视角问题,可提供全视角显示。由于OLED 具有能自发光的特点,在亮度上也比LCD 高得多,也不像LCD 需要背光源,所以不仅提高了电源的有效功率,功耗只有LCD 的一半,而且器件厚度也比LCD 薄。OLED 响应时间比典型LCD 快一千倍。所以,它具有高效率、高对比度、宽视角、工作电压低等优点[1 -2]。台湾铼宝公司生产的内嵌SSD1303驱动芯片的超薄OLED显示屏P09703点阵数128X64,厚度仅2.05毫米,重量仅11.1克,工作温度-40℃到+85℃。在陀螺经纬仪上选用该产品,很好的解决了显示问题。下面重点讨论电路设计的实现问题。

    1 SSD1303简介

    目前,主要有Solomon 公司和美国的Clare公司等几家公司生产OLED 驱动IC。Solomon 投入市场的SSD1303,是一枚把行驱动、列驱动和控制器集成为一体的OLED 驱动器芯片。这个驱动器为132 × 64点阵OLED 图形显示而设计的,包括行驱动器、列驱动器、电流参考发生器、对比度控制、振荡器和几个MCU 接口模式。工作逻辑电压2.4V~3.5V,具有丰富的软件功能,支持4种颜色选择和每种颜色64级控制,它的软件对比度具有256级控制,内嵌的132 × 64 bit 的图形动态随机存储器( GDDRAM),提供了行remapping、列remapping、垂直滚动和部分显示功能。使该驱动器适合于不同像素尺寸和颜色的多种OLED 显示。

    2 P09703与LPC2131的硬件连接

    LPC2100/lLPC2105/LPC2106 系列微控制器是飞利浦半导体推出的基于16/32 位ARM7TDMI-S CPU,并带有128/256 k字节(kB)嵌入的高速Flash存储器的微控制器,128位宽度的存储器接口和独特的加速结构使32位代码能够在最大时钟速率下运行。对代码规模有严格控制的应用可使用16 位Thumb 模式将代码规模降低超过30%,而性能的损失却很小。由于LPC2100/lLPC2105/LPC2106系列微控制器采用非常小的64脚封装、极低的功耗、多个32位定时器、4路10位ADC PWM 输出以及多达9个外部中断,这使它们特别适用于工业控制、医疗系统、访问控制和电子收款机(POS)等应用领域。因为LPC2100系列微控制器没有外部总线控制器,所以它们外接扩展芯片不是很方便。不过,因为它们的速度很快,所以即使使用软件模拟总线外接扩展芯片也比普通的80c51快得多,而丰富的片内资源也不是普通51能够比拟的。

    鉴于P09703与P09702具有相同的图形显示控制器SSD1303,而P09702硬件接口适合试验连接,下面以P09702与LPC2131为例进行说明,由于OLED显示屏P09702的逻辑电平为2.4V - 3.5V,我们选用PHILIPS公司生产的基于ARM7TDMI-S、单电源供电的微控制器LPC2131作为控制器,图一给出了包括电源、时钟、复位等一个嵌入式处理系统正常工作的最小电路外,电源电路提供模拟3.3V和数字3.3V,以提高系统工作稳定性。同时绘制了P09702与LPC2131的硬件连接方式。
超薄显示屏OLED在陀螺经纬仪中的应用

图 一
    3 软件编程

    在与计算机连接方面,SSD1303的接口,包括数据输入缓存器、数据输出锁存器,指令寄存器及译码器,忙状态触发器以及时序控制电路等,具有高性能的接口控制电路。计算机可以随时访问SSD1303而不需要判断其当前状态,与以前用的以T6963C控制器不同,SSD1303判断忙状态在操作上不是那么重要,因为SSD1303的接口部能够适时地接收计算机的访问。只是在计算机对显示存储器大量的数据传输时与控制部向驱动部传输显示数据相冲突,会在显示屏上出现“雪花”。但是由于这个间隙时间很短,加上人眼在视觉上的惰性而看不出“雪花”现象,有时判断忙标志再进行显示数据传输时,忙标志已经消失了。正是由于这些,计算机访问SSD1303的操作流程非常简单。但要注意的是SSD1303的接口控制电路内有几套时序电路以适配不同计算机操作时序的要求。时序适配电路的设置端为BS0,BS1,BS2。在P09703中选择BS1和BS2不同的连接,以确定选择Intel8080时序还是M6800时序。在P09702中由于没有BS1和BS2的选择,出厂时已经设置为Intel8080时序,所以下面的程序为Intel8080时序。

#define  AD0_PIN_NUM    8   //8位数据总线
#define  DC_PIN_NUM     5   //P0.5 数据/指令控制位,低电平—指令操作,高电平—数据操作
#define  WR_PIN_NUM     6   //P0.6 写数据/指令控制位,高电平变低电平时写入
#define  RD_PIN_NUM     7   //P0.7 读数据/指令控制位,低电平有效
#define  CS_PIN_NUM     16  //P0.16 使能位,低电平有效
void ExBusInit(void)    //初始化P09702OLED显示屏总线
{    uint32 temp;
// 设置引脚连接模块:DC_PIN_NUM、WR_PIN_NUM、RD_PIN_NUM、CS_PIN_NUM、 AD0_PIN_NUM为GPIO
    PINSEL0 &= ~(3 > AD0_PIN_NUM;
    return (uint8) temp;
}

void WriteCommand(uint8 Data)   //写指令代码到P09702OLED显示屏
{     IOCLR = 1
时间:  2006-7-7 07:51
作者: qqqq


基于AT91M40800的无线数据终端


  
    摘  要  随着中国移动GPRS和中国联通CDMA1X网络的建成商用,GPRS/CDMA无线数据终端的应用范围越来越广。本文介绍了ATMEL公司基于ARM7TDMI核的微控制器AT91M40800的内部结构和扩展外围,并在此硬件平台上移植了μC/OSII嵌入式操作系统、Interniche网络协议栈和μC/FS文件系统,从而给出了一个完整的GPRS/CDMA无线数据终端的实现方案。
    关键词  ARM处理器,μC/OSII嵌入式操作系统,μC/FS文件系统,Interniche网络协议栈
1  引  言
    GPRS/CDMA无线数据网络系统由于其覆盖范围广,通信质量高,永远在线和按流量计费等优点,在无线上网、环境监控和数据采集等许多行业得到了广泛应用。作为系统重要组成部分的GPRS/CDMA无线数据终端,为了满足其成本低,体积小和移动灵活的要求,目前普遍使用的方案是在单片机系统上加载一些操作系统和网络协议栈来控制无线模块登录GPRS/CDMA网络,从而实现数据的传输。但由于目前的单片机大多仍是8位系统,处理速度较慢,且硬件资源有限,对操作系统和协议栈的支持能力不够强,因此实际运行起来效果并不理想。ARM处理器凭借其功能强,功耗低,性能高的优势迅速成为了各种控制应用领域的首选。本文通过采用ATMEL公司的AT91M40800处理器并外扩大容量存储器的方法来构建功能强大的硬件平台,并在此硬件平台上移植了μC/OSII嵌入式操作系统、网络协议栈和文件系统,从而大大提高了终端的可靠性,增强了系统的可扩展性和产品开发的可延续性。
2  硬件平台
    GPRS/CDMA无线数据终端采用ATMEL公司的一款ARM7微控制器AT91M40800,其特点如下:
集成了ARM7TDMI处理器内核,高性能的32位RISC体系结构,具有高密度的16位指令集和嵌入式ICE(InCircuit Emulation);
8 KB片内SRAM;
完全可编程的外部总线接口(EBI),片选线多达8个,最大可寻址空间为64 MB,具有软件可编程的8位或16位外部数据总线;
8优先级、可单独屏蔽的向量中断控制器,具有4个外部中断,包括一个高优先级、低延迟的中断请求;
32个可编程I/O口;
3通道16位定时器/计数器;
2个UART;
可编程的看门狗定时器。
    图1为GPRS/CDMA无线数据终端的结构框图。AT91M40800内部只集成了8 KB的SRAM,为了使μC/OSII操作系统、网络协议栈和文件系统能够正常移植运行,系统外扩了1 MB的FLASH和SRAM。使用的片选信号分别是NCS0和NCS1,处理器上电REMAP后分别映射到0x01000000和0x02000000的地址空间。为了满足系统存储数据和一些须修改的配置信息的需要,终端在外扩的FLASH里创建了μC/FS文件系统,文件系统和用户程序共用一片FLASH。用户程序固化在0x01000000~0x0107FFFF前512 KB的地址空间内;文件系统创建在0x01080000~0x010FFFFF后512 KB的地址空间内。

图1  GPRS/CDMA无线数据终端结构框图

    终端提供了RS232、RS485和RJ45 3种用户接口。由于AT91M40800内部集成了2个UART,因此只须外加一片RS232电平转换芯片和一片RS485收/发驱动芯片就能很容易地扩展出RS232和RS485用户接口。扩展RJ45以太网口时,系统使用了RTL8019AS以太网接口芯片。RTL8019AS是Realtek公司高集成度的专用以太网接口芯片,支持EthernetII、IEEE802.3、10Base5、10Base2和10BaseT,支持UTP、AUI&BNC和PnP自动探测模式,并且内嵌16 KB SRAM用于收/发缓冲,降低了对主处理器的速度要求。它还支持8位、16位数据总线模式,有全双工的通信接口,是进行以太网通信的理想芯片。要实现这些功能,需要依据RTL8019用户手册的描述,对其进行正确的配置,编写出发送包、接收包函数以及外部中断处理程序;系统加载TCP/IP网络协议栈后通过调用这些函数即可实现网络数据的传送。
    系统还通过TL16C550扩展了一个标准的9线串口以连接GPRS/CDMA无线猫。TLl6C550的主要功能是在接收外部器件或MODEM的数据时完成数据串行到并行的转换;在接收CPU的数据时,完成数据并行到串行的变换并进行串行发送。在任何工作状态下,CPU均可读取和通报器件的状态。TLl6C550的内部包含一个可编程的波特率发生器,波特率为16x内部输入时钟频率,此内部输入时钟频率由输入的参考基准振荡器分频(由波特率分频寄存器的值决定)得到。TLl6C550具有完全的MODEM控制能力,根据用户的需要可以设置成中断或查询方式。对TL16C550的操作比RTL8019更为简单,只需对其为数不多的寄存器操作,便可实现对器件的模式设置、收发处理和状态控制等。在此基础上通过封装一些收/发的函数即可完全实现和GPRS/CDMA无线猫的全双工通信。
    终端上电初始化后,从文件系统中读出相应的配置信息,接着通过TL16C550向无线猫发送相应的AT指令;无线猫登陆网络成功后,系统通过加载PPP/TCP/UDP/IP等协议,同中心建立起SOCKET连接;然后在μC/OS-II实时操作系统下通过多个任务的调度,即可实现RS232/RS485/RJ45数据和中心站的相互通信。
3  μC/OSII嵌入式操作系统
    μC/OSII是一种免费公开源代码,结构小巧,具有可剥夺实时内核的实时操作系统,其内核提供任务调度与管理、时间管理、任务间同步与通信,以及内存管理和中断服务等功能。μC/OSII是面向中小型嵌入式系统的,如果包含全部功能(信号量、消息邮箱、消息队列及相关函数),则编译后的内核仅有6~10 KB,可以广泛应用于从8位到64位单片机各种不同类型、不同规模的嵌入式系统。要使μC/OSII正常运行,处理器必须满足以下要求:
处理器的C编译器能产生可重入代码;
用C语言即可打开和关闭中断;
处理器支持中断,并且能产生定时中断(通常为10~100 Hz);
处理器支持能够容纳一定量数据(可能是几千字节)的硬件堆栈;
处理器有将堆栈指针和其他CPU寄存器读出或存储到堆栈或内存中的指令。
    AT91M40800完全可以满足这些要求,因此可将μC/OSII移植到上面运行。μC/OSII的移植比较简单,在完成对CPU的初始化以后,首先对时钟节拍进行设置(10~100 Hz)。只须对AT91M40800编写相应的定时器中断处理程序,在程序中调用操作系统的函数OSTimeTick(),并在其AIC(Advanced Interrupt Controller )中设置定时器的优先级别,将定时器中断处理程序的地址放到相应的中断向量表中。使定时器产生中断,必须在开始多任务调度后,即在第一个任务中使能定时器。其次,对μC/OS-II移植主要集中在includes.h、OS_CPU_A.S和OS_CPU_C.C等CPU相关文件的改动,上层任务调度部分无需任何修改。对于多任务操作系统,只需将复杂的应用分成简单的、相互联系的任务,再把各个不同优先级的任务交给操作系统去管理。
4  Interniche网络协议栈
    为了使GPRS/CDMA模块能登录无线网络并与中心建立SOCKET连接,系统移植了Interniche公司源码开放的嵌入式TCP/IP/PPP协议栈。Interniche能使系统很容易在μC/OS-II操作系统的调度下为开发平台增加网络通信和网络管理等功能。由于它是专门为嵌入式系统而设计的,所以占用的系统资源很少。Interniche协议全部用标准C语言,除了可以运行在如μC/OSII、VRTX、CMX和Threadx等多种RTOS下,还可在无RTOS的环境下独立运行。常用的Interniche包括:
    (1) 核心协议
    NicheStackTM: InternicheTCP协议包含TCP、UDP、IP、TFTP、DHCP Client、ICMP、ARP、SLIP、BootTP以及DNS Client。
    Niche ToolTM: 菜单驱动的协议调试和测试工具(包含在NicheStack和Nichelite中)。
    NicheLiteTM: Interniche微型化的TCP/IP协议,全部代码在12 KB以内;它包含全部NichestackTM的所有协议和1个最小化的Socket API,易于移植到从8位到32位的CPU上。
    可选择应用模块:PPP、PPPoE、Telnet(Server&Client)、FTP(Server&Client)、IP Multicast和NichePOP3等。它为TCP/IP上的附加模块增加了POP3 Email服务器收邮件功能。
    (2) 管理和配置协议
    WebportTM: 嵌入式Web服务器,让最终用户通过WWW浏览器配置和监控嵌入式设备,而避免编写专用的GUI界面。这些界面多数是与主机OS(如Win98)相关的,而且需要目标环境大量的资源,如文件系统。
    Email Alerte: 为嵌入式系统增加预告和报警功能。它允许用户向本地或远程的Email地址发出预先设置好的信息(比如某个条件下的报警信息)。
Interniche协议栈同样具有良好可移植特性,它对系统的要求只有:
时钟,至少1 s“滴答”;
内存和操作系统;
至少具有一个网络接口设备(查询或中断驱动);
相配的编译器和调试器。
    只须根据以太网控制器手册的描述,编写出发送包、接收包的函数,以及用于以太网控制器的外部中断处理程序即可完成对Interniche网络部分的移植。由于Interniche协议栈也是可以获得源码的,所以对于任何网络控制器都可通过不断的调试最终完成自己的驱动程序。此外,为了方便调试,使Niche ToolTM工作,还应完成对Interniche中向串口收/发字符putchar()和getch()的移植,这样就可以在PC端使用超级终端经过串口与终端设备上的Interniche基于命令行进行交互了。Interniche的各种协议簇可以根据需要由μC/OS-II调度的状态查询任务。
5  μC/FS文件系统
    μC/FS是FAT型文件系统,它适用于所有存储介质,需要用户为这些储存介质提供基本的硬件访问函数。μC/FS在速度、多功能性和内存封装上都做了优化,支持FAT12、FAT16和FAT32文件系统,支持各种不同的设备驱动,从而允许用户在同一时间通过文件系统访问不同类型的硬件,通过设备驱动在同一时间访问不同的介质。μC/FS还支持操作系统,包括μC/OSII在内的多种操作系统都可以很方便地与μC/FS结合,这样用户即可在多线程环境下进行文件操作。
    μC/FS根据其组织逻辑机构,可以分为4层,如图2所示。
    ① API层: μC/FS与用户应用程序之间的接口。它包含了一个与文件函数相关的ANSI C库,如FS_FOpen和FS_FWrite等。API层把这些调用传递给文件系统层。目前在μC/FS下只有FAT型文件系统可以获取, 但API层可以同时处理不同类型的文件系统层,所以在μC/FS下可以同时使用FAT和其他文件系统。

图2 μC/FS 的层次体系

    ② 文件系统层: 把文件操作请求传递给逻辑块操作。通过这种传递,文件系统调用逻辑块操作来为设备指定相应的设备驱动。
    ③ 逻辑块层:主要功能是使对设备驱动的访问同步,并为文件系统层提供一个方便的接口。逻辑块层调用一个设备驱动来进行块操作。
    ④ 设备驱动: 设备驱动是处于系统底层的例程,用以访问存储硬件。设备驱动结构简单,易于与用户自己的存储设备进行整合。
    μC/FS是为与所有类型的硬件协同工作而设计的,为了在μC/FS中使用某种特定的硬件,需要提供该硬件的设备驱动。当用户要使用自己的设备驱动时,需要通知μC/FS设备的名称以及采用的文件系统层的类型(目前只支持FAT)。用户可在FS_Conf.h文件中通过设置FS_DEVINFO来实现,FS_Conf.h文件用于初始化μC/FS的全局设备信息表。第一个参数是设备名称,在调用μC/FS API时会用到;第二个参数是指向文件系统层函数表的指针,目前只支持FAT;第三个参数是指向设备驱动函数表的指针。
    如果要将μC/FS移植到用户系统的FLASH里,则只有几个文件须修改。一个是CONFIG目录下的fs_conf.h和fs_port.h文件,需要根据系统的具体情况(如文件系统的设备类型,以及是否使用操作系统等)修改。还有DEVICE目录下的flash_conf.h和flash_device.c这两个文件。flash_conf.h里需要设置用户的FLASH信息,如FLASH的类型、访问模式,以及FLASH的起始地址和长度等。flash_device.c需要根据用户FLASH手册做些修改,主要是在Flash command definitions部分,需要修改相应的操作命令宏,如擦除、编程命令字等。如果所有配置均正确,则可在目标系统上编译使用设备的应用程序。正常情况下,编译μC/FS的源代码不会出现任何编译警告,应用程序一经编译即可在目标系统的调试器下运行。如果μC/FS有问题而不能运行,则可先测试一下各项FLASH的底层驱动函数(如FLASH_WriteAdr、FLASH_WriteOff和FLASH_EraseSector等),看是否能直接对FLASH进行操作。如果FLASH的底层驱动函数没有问题,则可能是在调用μC/FS的API时,初始化或者传递的命令不正确,特别要检查一下FS_IoCtl的命令参数。
6  结束语
    本文提出了一种基于ARM处理器和嵌入式操作系统的GPRS/CDMA无线数据终端的实现方案。首先给出了系统硬件的的原理结构框图,说明了系统中主要元器件的性能和使用方法;然后分别介绍了μC/OSII嵌入式操作系统、Interniche网络协议栈和μC/FS文件系统的结构、特性以及主要的移植步骤。目前笔者已完成了终端软硬件的调试工作,系统测试稳定可靠,可以广泛应用在水电气费管理(如远程抄表)、环保和电力监控等场合,具有广阔的使用前景。

时间:  2006-7-7 07:52
作者: qqqq


用S3C2410实现三导联远程心电监护系统


  
    摘  要  主要介绍一种基于S3C2410平台的三导联远程心电监护系统。该系统能够不受时间、空间的限制对心脏病患者进行实时监护,从而方便患者与医护人员随时进行沟通。经过临床测试受到医疗界专家和心脏病患者的高度评价。
    关键词  ARM,S3C2410,心电监护,无线传输
1  引  言
    随着人们生活水平的提高、生活节奏的加快,心血管疾病的发病率迅速上升,已成为威胁人类身体健康的主要因素之一。而心电图则是治疗此类疾病的主要依据,具有诊断可靠,方法简便,对病人无损害的优点,在现代医学中,变得越来越重要。常规心电图是病人在静卧情况下由心电图仪记录的心电活动,历时仅为几s~1 m,只能获取少量有关心脏状态的信息,所以在有限时间内即使发生心率失常,被发现的概率也是很低的。因此有必要通过相应的监护装置对患者进行长时间的实时监护,记录患者的心电数据。又由于心脏病的发生具有突发性的特点,患者不可能长时间地静卧在医院,但又需实时得到医护人员的监护,所以研发相应的便携式无线心电监护产品就显得更加重要。
    目前虽说国内已有成型的无线心电监护产品,但其采用的方案大都是“采集器+发送器(PDA或手机)”,这必然导致其价格昂贵,且PDA或手机的其他功能对于绝大部分患者完全没有必要,所以到目前为止国内实用的无线心电监护产品领域还是空白。本文所述的远程心电监护系统是在医院的提案基础之上,进行充分调研之后设计的总体方案,主要实现如下功能:
三导联心电信号采集;
无线传输紧急情况下40 s的心电数据及诊断结果;
24小时心电图连续记录;
通过高速USB上传心电数据至PC机;
紧急呼叫。
2  系统总体设计
    作为便携式手持远程移动终端,在设计时应充分考虑其体积小,功耗低,存储容量大和处理速度高的要求,因此在CPU的选择上十分慎重。经过资料收集和反复比较,最终选择了Samsung公司推出的基于ARM920T内核的S3C2410处理器,该处理器资料丰富,性价比高。

    采用RISC架构的ARM微处理器一般具有如下特点:
体积小,功耗低,成本低,性能高;
支持Thumb(16位)/ARM(32位)双指令集;
大量使用寄存器,使指令执行速度更快;
寻址方式灵活简单,执行效率高;
指令长度固定。
    可以看出基于ARM的嵌入式处理器是便携式手持终端的最佳选择,所以在设计系统方案时首先定位在该系列处理器上。S3C2410处理器基于ARM920T处理器核,采用0.18 μm制造工艺的32位微控制器,采用五级流水线和哈佛结构,最高运行频率为203 MHz。该处理器具有:独立的16  KB指令Cache和16KB数据Cache、MMU、支持TFT的LCD控制器、NAND闪存控制器、3路UART、4路带PWM的Timer、丰富的I/O口、8路10位ADC、Touch Screen接口、IICBUS接口,以及2个USB主机和1个USB设备等丰富的外围设备。
    S3C2410提供了一套较完整的通用外围设备,且使整个系统的功耗最低,从而免去了添加、配置附加外围接口的麻烦,有效地缩小了线路板的面积,这也正是本系统选择该处理器的重要原因。
    系统的整体结构如图1所示,以S3C2410为核心,外扩了8 MB的NOR FLASH、64 MB的NAND FLASH以及16 MB的SDRAM等存储芯片,通过GPIO口扩展了键盘、LCD和蜂鸣器等人机接口单元,对外提供USB和UART等通信接口,同时连接了Siemens公司的MC35模块,以实现无线传输和紧急呼叫功能。从系统的总体功能结构来看,可将系统划分为5个模块:电源模块、心电数据采集模块、数据无线传输模块、图形用户界面模块、数据存储管理模块。

图1  系统总体结构

2.1  电源模块
    系统采用单节1700 mAh锂离子可充电电池供电,但随着电量的释放,电压也在不断降低,变化范围为4.2~2.75 V。而本系统中分别需要一个4.3 V的MC35工作电压、一个3.3 V的I/O电压、一个1.8 V的CPU核电压和一个1.8 V的CPU职守电压。为了满足系统的要求,电源电路中必须同时具备升压稳压器和低压差线性稳压器。为了解决该问题系统采用1个开关型升压DCDC稳压器、1个3.3 V极低压差线性稳压器和2个带有Shutdown引脚的1.8 V低压差线性稳压器来组成供电系统,供电方案如图2所示。

图2  电源模块方案

2.2  心电数据采集
    由于心电图信号的检测是属于强噪声背景下的超低频(0.5~100 Hz)微弱(0.1~5 mV)信号检测,具有微弱性、稳定性、低频特性和随机性等特点,故要求前置级应满足高输入阻抗、高共模抑制比(CMRR)、低噪声、低漂移和高安全性。微弱的心电信号受到来自人体内外的多种干扰,其特征被淹没在复杂的信号之中,为了使其特征突出,就有必要对其进行预处理。系统采用的心电信号采集原理如图3所示。其中前置级采用差动放大电路,其放大倍数为22.4倍;后级放大电路的放大倍数为37倍,则总放大倍数为828.8倍。

图3  心电信号采集原理

    由于心电信号为低频信号,因此在模拟电路上,设计截止频率为100 Hz的一阶低通滤波器来滤除高频干扰,采用二阶VCVS带阻滤波器来滤除50 Hz工频干扰。在数字处理上,为了抑制对心电信号影响较大的工频干扰和基线漂移,采用2 048点FFT对输入的一帧心电数据进行时域—频域的变换,然后去除0.5 Hz以下的低频和50 Hz的工频;同时为了抑制高频噪声和50 Hz倍频造成的干扰,又滤除了100 Hz以上的频率,然后再进行IFFT将此组数据变换回时域。
2.3  数据无线传输模块
    本系统为远程移动终端,涉及数据的无线传输,为实现此功能采用了Siemens公司的MC35模块,并移植了TCPIP协议栈和PPP协议,以完成心电数据的发送和诊断结果的接收。MC35是Siemens公司推出的第一款支持GPRS的GSM/GPRS模块,它体积小,易于集成到便携式手持终端中,支持VOICE、DATA、FAX以及SMS等业务。处理器S3C2410通过异步串行通信接口与MC35相连,并通过AT命令对该模块进行控制和数据传输。
    在发送数据时,首先,应用层将采集到的心电数据提交给TCPIP协议栈;然后,TCPIP协议栈根据目的地址和端口将该心电数据封装成完整的IP数据报,再提交至PPP层;最后,该IP数据报经PPP层封装之后,通过串口逐字节地提交至MC35并发送。在接收数据时,MC35首先将接收的数据逐字节地提交至PPP层;经PPP层将分散的各字节重组成一帧完整的IP数据报之后,再提交至TCPIP层进行详细的处理,具体流程如图4所示。
    在开机初始化时要完成MC35的启动并登录移动梦网网关,建立与服务提供商的连接。一般在发送指令之前先要发送一条测试指令,以检测MC35的当前状态,该指令的格式为“AT\r”;在入网网关及流量控制等参数通过AT指令设置完成之后,便可通过服务编码99开始呼叫与服务提供商建立连接,指令格式为ATDT*99***1#\r\n。若在该指令执行之后给定的时间内返回CONNECT信息,则表明与服务提供商的连接建立成功;否则,表明拨号失败,无线传输功能无法正常启动。MC35成功登录移动梦网网关之后,将自动从命令模式切换到数据通信模式,且串口通信方式由原来的查询式变为中断方式。此时由系统主动发送一帧PPP请求信息,服务提供商接到该请求信息后主动发出询问帧,协商相关参数的设置。待服务参数及用户身份验证成功之后,服务提供商为系统分配一独立IP,至此便可认为GPRS成功上线。
图4  GPRS无线数据传输流程

    GPRS成功上线后可以认为MC35主要处在两种工作状态:数据传输状态和空闲状态。在数据传输状态,MC35的峰值电流可达400 mA;在空闲状态一般为15 mA。另外,在空闲状态MC35还支持多种休眠模式。考虑到系统的功耗问题,启用了MC35的休眠功能。系统采用了MC35的休眠模式七。在该休眠模式下,电流一般为3 mA左右;MC35无论从串口还是从服务提供商接收到数据,都会立刻将MC35设置为正常模式,待数据传输结束之后自动进入休眠模式。该休眠模式的设置可以通过指令“AT+CFUN=7\r\n”来完成,且该指令必须在GPRS上线之后执行。这样在空闲状态下即可自动将MC35设置为休眠模式,将电流值从15 mA降到3 mA。在休眠指令执行前涉及MC35的状态切换,因为在执行该指令前MC35处在数据通信模式,所以要通过指令“+++”将其切换到命令模式之后再执行该休眠指令。在休眠指令执行之后还需通过指令“ATO\r”将MC35切换到数据通信模式;否则MC35会把将要发送的数据也当作指令来处理。
2.4  图形用户界面
    系统采用深圳蓬远公司生产的低功耗、128×64点阵液晶模块MOBI2006来图形化显示系统信息。MOBI2006支持并行和串行两种数据通信方式,工作电压为3.3 V。在本系统应用中,使用S3C2410的I/O口模拟LCD的控制时序来实现对液晶的控制。
    在具体实现相关信息的显示时采用了Framebuffer技术。首先预分配一块缓冲区并声明为二维数组,数组的一维长度和二维长度分别与液晶的宽和高相对应,这样数组的每个元素都代表液晶中的一个点。在系统运行中若要刷新液晶显示,则首先要更新Framebuffer缓冲区,再从Framebuffer更新液晶显示。MOBI2006列向基于点寻址;横向基于页寻址,每一页由8个点组成。基于液晶的特点,如果不采用Framebuffer技术,刷新屏幕中的一小块,则会导致整个屏幕的变动,给上层应用的开发带来很大困难。因此,虽说采用Framebuffer技术将占用一部分内存和刷新时间,但会为后续的开发带来很大方便:在上层具体应用中不再受页寻址的限制,在上层开发者看来列向、横向均为点寻址,可以方便灵活地操纵液晶。另外,为了保证Framebuffer与液晶的同步,采用基于事件的方法刷新液晶屏并且是局部刷新,这样既节省了液晶的刷新时间又减小了屏幕的抖动。
    例如,应用层要显示一张图片,只须给出图片的显示位置,即对应于二维数组的行列值、图片的宽和高,以及相应的点阵数据。首先将图片的点阵数据刷新到Framebuffer缓冲区,然后再根据显示位置确定液晶的刷新区域,其中缓冲的列值对应于液晶的列值,而行值要转换为液晶的页面值,可通过如下公式转换:
    Page_start=row/8
    Page_end=(row+high-1)/8
    其中:Page_start和Page_end分别对应于液晶的起始、终止页面值;row对应于图片显示位置的横坐标;high对应于图片的高度。计算出相应的页面值之后便可通过如下过程刷新液晶的指定区域,完成预期图片的显示。
    for(page=p_s;pagel_c_e;lc--) //2
          {
              GUI_Lcd_SetALL((u8_t)page,(u8_t)lc);
              for(f_bit=7;f_bit>=0;f_bit--)
              {
                    if(!(Frame_Buffer[page*LCD_PAGE+f_bit][fc]))
                          GUI_Lcd_SendData(0);
                    else
                          GUI_Lcd_SendData(1);
              }
              Delay(10);
              fc++;
          }
     }
2.5  数据存储管理
    在S3C2410 的BANK0中扩展了1片4M×16位的NOR FLASH;在BANK6中扩展了一片8M×16位的SDRAM,并且利用S3C2410的NAND FLASH控制器扩展了一片64M×8位的NAND FLASH。NOR FLASH主要用来存储程序代码;NAND FLASH主要用来存储采集的心电数据以及部分程序代码。S3C2410支持从NOR和NAND两种方式启动,可以通过配置S3C2410的OM[1:0]来选择CPU的启动方式。
    系统可以实现全天24小时无间断心电数据采集,这样必将产生大量数据。为了将大量心电数据传输到PC机中供医护人员分析、诊断,系统采用了通用的USB端口。S3C2410内部集成了USB Device控制器,因此只须设计简单的外围电路,即可实现此功能。该USB Device控制器完全兼容USB1.1协议规范,集成了USB传输器,支持控制传输、中断传输和批量传输;5个具有FIFO的端点,为批量传输的端点提供DMA接口并且支持挂起和远程唤醒功能。
3  结束语
    本系统具有很强的实用性,可以对心脏病患者进行实时监护。由于本系统具有无线传输功能,因而患者可以不受时间和空间的限制使用本系统,无论在家中还是在野外都能对心脏进行实时监护。系统的24小时无间断心电图记录功能,足以捕捉突发性的异常心电数据,为医护人员提供有力的诊断依据。本系统研制成功后受到医疗界专家的高度评价,在临床测试过程中也受到患者和医护人员的一致好评。

时间:  2006-7-7 07:52
作者: qqqq


IXP网络处理器设计数字家庭媒体中心系统

  
    摘  要  随着电子消费类设备需求的不断增加以及Internet数字媒体内容的迅猛发展,家庭网络中的数字媒体内容开始持续增长,人们更加迫切地需要增强对等通信与信息共享的能力。本文描述了一种基于IXP网络处理器架构的数字家庭媒体中心系统(DHMCS)的设计及其实现。
    关键词  ARM,家庭媒体系统,嵌入式系统,NMPR,UPnP
1 引  言
    1.1 数字家庭的广泛应用
    数字技术和计算机技术的飞速发展正不断产生众多的数码产品,从个人电脑、手机、PDA到MP3、数字电视、DVD、DV/DC,数字家电产品已经步入了人们的日常生活之中。同时,宽带通信与互联网应用的普及也极大地促进了数字媒体内容的发展。因此,构建一个宽带接入、内部互联、内容共享的数字家庭媒体中心的需求也变得日益突出。
    根据IDC的定义,数字家庭是指可以实现家庭内部所有设施控制并可得到反馈信息,声音、文字、图像信息可在不同家用设备上共享,并可随时随地实现这些控制与信息共享\[1\]。IDC的最新报告表明,至2008年数字家庭市场直接带来的网络、终端等相关软/硬件的投资将超过100亿美元,其中双向机顶盒2008年约安装3 300百万台,年复合增长率将达到311.7%,智能家庭网关由于其数字家庭高端解决方案的市场定位,2003~2008年的年复合增长率将高达89.4%\[1\]。因此,数字家庭媒体中心的推广必将会产生巨大的附加产值,带来巨大的发展潜力。从1994年数字家庭概念的诞生,到今天数字家庭市场的正式启动;从“维纳斯”计划的推出,到通用即插即用论坛(UPnP,Universal Plug and Play)、数字生活网络联盟(DLNA,Digital Life Network Alliance)的成立,经过十余年的发展,数字化生活已进入了真正的发展期。
    1.2  ARM技术的发展
    嵌入式系统源自80年代以来的8位单片机技术。在90年代后期,随着网络技术的飞速发展,人们的日常生活进入了后PC时代,许多电子设备需要TCP/IP网络功能和更智能、更强大的计算能力,如语音、音频、视频的数据采集、处理与传输,图形界面和触摸屏技术,无线控制技术和相应的软件协议等。因此,对功能更强大的嵌入式处理器的需求也变得越来越突出。ARM作为嵌入式系统领域中应用最广泛的32位处理器体系结构,已遍及工业控制、消费类电子、通信系统、网络应用、无线通信、成像和安全等各类应用领域,并成为多个应用领域的标准CPU,ARM技术已经渗透到人们生活的各个方面。

    采用ARM技术知识产权(IP)核的微处理器目前包括:ARM7系列、ARM9系列、ARM9E系列、ARM10E系列、SecurCore系列、StrongARM系列和XScale系列。它们的共同特点是:体积小,功耗低,成本低,性能高;支持Thumb(16位)/ARM(32位)双指令集,能很好地兼容8/16位器件;大量使用寄存器,指令执行速度更快;大部分数据操作都在寄存器中完成;寻址方式灵活简单,执行效率高;指令长度固定。除了具有上述共同特点之外,每个系列还具有各自的特色和应用领域。其中,ARM7、ARM9、ARM9E和ARM10E为4个通用处理器系列,每个系列提供一套相对独特的性能来满足不同应用领域的需求;SecurCore系列专门为安全性要求较高的应用而设计,如电子商务、电子政务、电子银行业务、网络和认证系统等领域;Intel公司的StrongARM系列融合了Intel的设计和处理技术以及ARM体系结构的电源效率,在软件上兼容ARMv4体系结构,同时还采用了具有Intel自身优点的技术,适用于便携式通信和消费类电子领域;Intel公司的XScale系列是基于ARMv5TE体系结构的解决方案,具有高性能、高性价比、低功耗等优点,适用于数字移动电话、个人数字助理和网络产品等领域。
2  数字家庭媒体中心系统的设计
    随着电子消费类设备需求的不断增加(如数码相机、数字摄像机、MP3播放器和高清DVD等设备)以及Internet数字媒体内容的迅猛发展,家庭网络中的数字媒体内容开始持续增长,人们更加迫切地需要增强对等通信与信息共享的能力。为了满足数字媒体在家庭内部以及外部Internet充分流通和共享的需求,我们开发了一种高性价比的数字家庭媒体中心系统(DHMCS,Digital Home Media Center System)。该系统结合Intel的IXP网络处理器和ESS多媒体解码芯片,无缝地连接家庭内部的个人电脑和家电,并通过以太网/无线网络连接家庭外的宽带Internet,在家庭环境内/外共享数字媒体内容,能够对多种媒体格式进行管理、存储、显示以及分配,提供集成的数据、多媒体应用以及自动控制与远程管理等功能。
    图1描述了DHMCS系统的整体框架。它通过DHCP协议、PPPoE协议、UDP协议、FTP协议、HTTP协议、UPnP协议\[2\]以及USB接口,从家庭PC机、宽带Internet连接的网络、存储卡和DVD等设备中获取多媒体数据,并且将这些数字媒体内容在电视机和音响设备上播放。同时还提供媒体文件的管理以及远程控制功能。
图1  DHMCS系统整体框架

    2.1  DHMCS的系统结构
    DHMCS由两个子系统组成:一个是由IXP 425(Intel XScale系列)网络处理器构成的网络子系统;另一个是由ESS 6688 DVD解码芯片构成的数据解码子系统,以下简称为“IXP子系统”和“ESS子系统”。IXP子系统通过UDP协议、FTP协议、HTTP协议和UPnP协议,从网络上获取媒体数据;ESS子系统则对这些媒体数据进行解码并进行音频/视频输出,同时也能对存储卡和DVD上的播放资源进行处理。IXP子系统和ESS子系统通过I2C总线和双端口SRAM(DPRAM)连接起来,其中: I2C总线主要负责控制命令和响应的传输;而DPRAM则主要负责媒体数据的传输。本文的后续部分主要讨论IXP子系统,而ESS子系统则超出了本文的范围。图2详细描述了DHMCS中IXP子系统和ESS子系统的结构。
图2  DHMCS中IXP子系统和ESS子系统的结构

    DHMCS完成一个用户的请求涉及以下7个步骤:① ESS子系统将用户请求网络媒体文件的按键转换为一个请求信息,并且按照一定格式写入DPRAM中,然后ESS子系统使能相应的中断,通知IXP子系统DPRAM中的数据就绪;② IXP子系统从DPRAM中读取就绪的数据,获取用户的请求信息;③ IXP子系统根据获取的请求信息和指定的服务器,建立Ethernet/WiFi网络连接;④ 服务器返回请求的媒体数据到IXP子系统中;⑤ IXP子系统将返回的数据通过相应的应用函数接口写入DPRAM;⑥ IXP子系统使能相应的中断,通知ESS子系统用户请求的数据已返回;⑦ ESS子系统解码返回的媒体数据,并通过音频/视频接口输出。
    为了实现DHMCS的网络功能,将IXP子系统的软件功能划分为以下3层: 应用层、操作系统层和驱动层。应用层主要提供网络功能模块以及和ESS子系统通信所需的模块,具体来说,包括UPnP协议、DHCP协议、PPPoE客户端、FTP客户端、HTTP客户端,以及通信协议模块。操作系统层基于MontaVista v3.0的Linux内核,具有内存管理、进程调度、设备管理、文件系统、中断处理和网络协议栈等功能。驱动层主要提供各种设备的驱动,包括以太网驱动、无线WiFi驱动、Flash驱动、DPRAM驱动、I2C驱动,以及USB驱动。图3描述了上述软件结构。
图3  IXP子系统的软件结构

    2.2  IXP子系统的应用层设计
    用户发出的请求主要有3类: 文件类请求(包括目录列表等请求)、系统的设置或者命令请求,以及UPnP媒体流请求。对应于以上请求,将IXP子系统的应用层划分为:应用代理模块、媒体文件下载模块(负责通过TCP/IP协议取得请求文件)、UPnP媒体流模块(负责处理UPnP请求)、用户远程设置模块(负责处理系统设置请求),以及与ESS子系统进行数据传输和命令控制的通信协议模块。应用代理模块层在DHMCS系统中处于枢纽位置,它将各种网络应用(如FTP、HTTP、UPnP以及用户远程设置)有效地连接起来,起到应用代理的作用。应用代理模块首先从通信协议模块接收ESS子系统发送的用户请求;然后交给相应的模块处理;最后将服务器返回的数据和响应通过通信协议模块返回给ESS子系统。图4描述了IXP子系统应用层的模块划分。

图4  IXP子系统应用层的模块划分

    IXP子系统和ESS子系统之间的数据传输和命令控制则由通信协议模块和通信驱动模块共同完成。通信协议模块主要负责IXP子系统和ESS子系统之间通信协议的实现,它将用户的请求或者服务器返回的媒体数据构造成数据包(Packet),并将每个Packet拆分为几个更小的mPacket,以便通信驱动模块的传输,另外两个子系统之间的确认消息也在这一层实现;而通信驱动模块主要负责具体的硬件传输,它直接控制I2C总线和DPRAM硬件,能够将信息准确无误地传输到ESS子系统中。参考OSI标准的7层模型,将IXP子系统和ESS子系统之间的通信协议划分为以下4个层次:应用层进行ESS子系统的请求消息和IXP子系统的响应消息的交互,完成消息的解析和处理;传输层主要是对要传输的数据进行分段重组(SAR),实现媒体文件和命令端到端的传输;链路层主要是进行DPRAM内存块的读/写以及I2C总线上的控制命令传输,提供两端访问DPRAM和I2C总线的通信机制;DPRAM提供双端口读/写内存块的通道,I2C提供命令控制通道。整个过程如图5所示。
图5  IXP子系统和ESS子系统之间通信协议的层次划分

3  IXP子系统的实现
    本节将详细介绍IXP子系统中UPnP媒体流模块以及IXP子系统和ESS子系统之间的媒体数据传输功能的实现。系统中其他模块的实现相对容易,在此不做描述。
    3.1  UPnP媒体流模块的实现
    我们实现的UPnP媒体流模块符合Intel的网络多媒体产品规范[3](Networked Media Product Requirements:NMPR v1.0)。NMPR规范将媒体设备划分为3部分: 媒体服务器(Media Server)、播放器(Renderer)和控制点(Control Point),并且利用UPnP协议完成这3部分之间媒体数据和控制命令的传输。我们实现的UPnP媒体流模块实际上包含了Renderer和Control Point这两部分,媒体服务器可以是符合NMPR规范的任意的PC机。
图6  UPnP媒体流模块的实现

    图6描述了UPnP媒体流模块的实现过程。首先应用代理模块向控制点发送用户的数据请求,控制点接收到用户的请求后就与媒体服务器进行通信;然后将媒体服务器的响应信息返回给应用代理模块,随后媒体服务器将用户所请求的媒体数据传输给Renderer;最后Renderer将这些数据返回给应用代理模块,再由通信协议模块传输给ESS子系统,再由ESS子系统对这些媒体数据进行解码输出。同时控制点还可与Renderer相互通信,实现一系列媒    3.2  DPRAM媒体数据传输功能的实现体播放的功能。
    通信协议模块实现的主要功能有:将Packet拆分成多个mPacket;向通信驱动模块发送或接收mPacket;向应用代理模块发送用户的数据请求和控制命令,并接收服务器的响应消息;管理请求队列和响应队列。完成一次IXP子系统和ESS子系统之间媒体数据传输所涉及的数据变化如图7所示。
图7  IXP子系统和ESS子系统之间数据传输所涉及的数据变化

    应用代理层到DPRAM的数据传输过程为:① 应用层根据需要将相应的数据传递到传输层;② 传输层收到报文后检查报文的长度,如果报文长度大于底层所能够传输的报文长度,则传输层将报文进行拆分,添加相应的报头信息,以保证接收端能够正确地重组,并传递给链路层;③ 链路层收到上层报文后,在报头添加相应的控制信息,以保证接收端能够正确地存取数据,然后将报文发送到DPRAM上。反之,由DPRAM到应用层的数据传输过程为:① 链路层从DPRAM中读取报文,并去掉相应的报头信息,将数据传递给传输层;② 传输层收到底层传递的数据后,根据该层添加的报头信息重组报文,然后传递给应用层。
4  结束语
    本文结合了IXP网络处理器和ESS解码芯片的特点,实现了一种具有高性价比的家庭媒体中心系统。它充分满足了数字媒体在家庭内部以及外部Internet充分流通和共享的需求。
时间:  2006-7-7 07:52
作者: qqqq


一种基于Intel8253与L298N电机PWM调速法


  
    摘要:介绍采用Intel8253型微型计算机接口电路产生脉冲宽度调制波,并使用L298N型桥式驱动器,实现对直流电机的一种简单有效的PWM调速方法。
    关键词:Intel8253; L298N: 脉冲宽度调制:PWM
1 引言
    脉冲宽度调制(Pulse Width Modulation—PWM)是指将输出信号的基本周期固定,通过调整基本周期内工作周期的大小来控制输出功率。原理就是开关管在一个周期内的导通时间为t,周期为T,则电机两端的平均电压U=Vcc t/T=aVcc。其中,a=t/T(占空比),Vcc是电源电压。电机的转速与电机两端的电压成比例,而电机两端的电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快。
    PWM常取代数/模转换器(DAC)用于功率输出控制,其中,直流电机与交流电机的速度控制是最常见的应用。通常PWM配合桥式驱动电路实现直流电机调速,非常简单,且调速范围大。

2 Intel8253的内部结构及工作模式
    2.1 Intel8253的内部结构
    Intel8253是微型计算机外围接口电路,内部包含3个彼此独立的16位减法计数器。每个计数器都可由软件确定为16位二进制减法计数器或者十进制4位BCD减法计数器。每个计数器都有6种不同的工作模式,也由软件确定。每个计数器都有一个时钟输入端(CLK)、一个门控信号输入端(GATE)和输出端(OUT)。读写由A1、.A0、RD、WR和CS等引脚加以控制,主要用以控制Intel8253的数据与命令的写入、读取与禁止。Intel8253的引脚排列如图l中的U3所示。
    2.2与PWM有关的工作模式
    与PWM有关的工作模式是模式l和模式2。
    (1)模式1
    当某计数器设置在模式1以后,微型计算机可以通过二条输出指令将16位数据M送入该计数器。这时该计数器的输出端并无任何响应。一旦该计数器的门控输入端脉冲上升沿到时,它的输出端立刻输出一个宽度为MT的负向脉冲,如图2所示。

    (2)模式2
    当某计数器设置在模式2以后,微型计算机可以通过二条输出指令将16位数据Ⅳ送入该计数器。输出指令结束后,该计数器立即输出周期为NT的连续方波,如图3所示。

    如果将8253的计数器0和计数器1分别设置在模式2和模式1,并按图4所示连接,就可以得到一个十分简单的脉宽调制发生器。工作开始前,先将常数Ⅳ送入计数器0,再将常数M送入计数器1中(M
    2.3 PWM程序
    Intel8253的读写控制逻辑由A1、A0、RD、WR和CS等引脚加以控制,其中,端口选择信号A1、A0决定3个计数器、控制寄存器中哪一个进行工作,A1,A0:00—10 CPU选择加一#2计数器进行读/写,11 CPU将控制字写入Intel8253。
Intel8253的控制寄存器如下:
SC1
SC0
RW1
RW0
M2
M1
M0
BCD

M2,M1,MO:000—101选择工作模式0-5
SCl,SC0:00-10选择计数器#o-#2,11回读命令
BCD:0对应二进制计数,1对应BCD计数
RWl,RW0:00锁住计数器稳定读数,01只读/写低8位字节,10只读/写高8位字节,1l先读/写低8位字节,后读/写高8位字节。
…….
mov dptlr,#Ofe03h:对8253控制寄存器初始化
nov a,#34h 选择计数器0、工作模式2
movx@dotr,a 先读/写低8位后读/写高8位
mov dptr,#0fe00h:向计数器0送低8位数据80H
nov a,#80h
movx@dptr,a
mov dptr,#0fe00h:向计数器0送高8位数据00H
nov a,#00h
movx@dptr,a
nov dotr,#Ofe03h:对8253控制寄存器初始化
mov a,#72h 选择计数器1、工作模式l
movx@dptr’,a
mov dpu,#0fe01h;向计数器1送低8位数据20H
nOV a,#20h
movx@dptr,a
nov dptr,#Ofe01h:向计数器1送高8位数据00H
nov a,#00h
movx@dptr,a
……
    根据以上程序,可以得到占空比为
    a=t/T=0020H/0080H=25%
    同理。将Intel8253的计数器0和计数器2分别设置在模式2和模式1,并按图4的连接方法也可以得到另一个PWM2。
3 L298N型驱动器的原理及应用
    L298N是SGS公司的产品,内部包含4通道逻辑驱动电路。是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。其引脚排列如图1中U4所示,1脚和15脚可单独引出连接电流采样电阻器,形成电流传号。L298可驱动2个电机,OUTl、OUT2和OUT3、OUT4之间分别接2个电动机。5、7、10、12脚接输入控制电平,控制电机的正反转,ENA,ENB接控制使能端,控制电机的停转。
    如图1所示,:Intel8253输出二组PWM波,每一组PWM波用来控制一个电机的速度.另外二个I/O口可以控制电机的正反转.控制方法与控制电路都比较简单。即P10、P11控制第一个电机的方向,输入的:PWMl控制第一个电机的速度;P12、P13控制第二个电机的方向,输入的PWM2控制第二个电机的速度。
    由于电机在正常工作时对电源的干扰很大,只用一组电源时会影响单片机的正常工作。所以选用双电源供电。一组5V电源给单片机和控制电路供电,另外一组5V、9V电源给L298N的+VSS、+VS供电。在控制部分和电机驱动部分之间用光耦隔开,以免影响控制部分电源的品质。
    图1就是一个实现智能小汽车的应用电路。D1、Q1是一对红外发射接收对管,与LM324构成光电传感检测电路。通过Intel8253和1298N可实现汽车的加速、减速、刹停,并可通过两个电机的不同转速实现左转和右转等功能。
时间:  2006-7-7 07:53
作者: qqqq


CH365型接口MCX314As型运动控制器PCI总线

  
    摘要:以CH365型PCI总线接口和MCX314As型运动控制器为核心硬件,自主研发了基于运动控制器的PCI总线运动控制卡。该控制卡能够实现4轴位置、速度和S曲线的加减速控制,具有直线、圆弧、位模式插补功能及自动原位搜寻功能,同时具有4路信号输入和8路通用输出。
    关键词:运动控制卡;PCI总线;MCX314As;CH365
1 引言
    随着现代科技的发展,数控技术的发展趋势是开放式数控。开放式数控系统具有即插即用、可移植性、可扩展性和可互操作性特点。PC—NC是目前比较实用的一种开放式数控系统模式,PC—NC可归纳为3种:PC板插入到NC装置中;软件NC;NC板插入到PC中。其中,第一种方案保留原有的数控系统不变,插入的PC板主要承担人一机界面、编程和通信等功能,用以提高系统开放性。此方案为目前主流数控系统厂商采用,可靠性较高但开放性有限。第二种方案的实时性对PC的CPU要求很高。第三种方案是在PC硬件平台和操作系统的基础上,采用自主开发或购买的运动控制卡来实现数控机床所需的全部功能。其中PC进行非实时处理,实时插补控制由运动控制卡来承担,采用这种方案设计的数控系统开放性好,人一机界面灵活,非常适合非标准数控机床的开发。
    文中给出了基于第三种方案的运动控制卡设计方案,采用CH365型PCI总线接口和MCX314As型运动控制器作为核心硬件,实现运动控制卡的4轴位置、速度、S曲线的加减速控制;直线、圆弧、位模式插补功能:自动原位搜寻功能以及4路信号输入和8路通用输出。与其他控制卡相比,其位模式插补功能、自动原位搜寻功能及电子齿轮设计最有特色。

2 PCI总线接口电路
    目前,计算机总线技术已由ISA总线发展到PCI总线,PCI的含义是周边元件互连(peripheral component interconnect)。PCI局部总线是一种高性能的32位/64位地址/数据复用总线,总线时钟频率高达33MHz/66MHz,同步控制,猝发传送时数据传递速率高达132MB/s(32位)或264MB/s(64位)[1]。
    实现PCI接口的方法有二种:使用复杂可编程逻辑器件或现场可编程门阵列(如CPLD/FPGA)和使用专用电路(如PCI9052和CH365等)。采用CPLD/FPGA实现PCI接口虽然灵活性高且性能较好,但其IP核的价格较高,而采用专用电路固然灵活性较差,但不影响其使用性能,尤其是可以利用器件生产厂商提供的配套工具,大大简化设计和缩短开发周期,从而降低开发成本。
     目前市场上有多种PCI接口电路.国外的如PLX公司的:PCI90系列,Altera公司的eplok,AMCC公司的S5933等,这些接口电路的性能好、适应对象较广,但价格较昂贵。南京沁恒公司推出一款CH365型PCI接口电路,该器件性能完全满足PCI接口规范,虽然频率较低(16MHz),但可满足设计需要且使用方便,价格低于国外产品,性价比高。经比较,本设计采用CH365作PCI接口器件。
CH365具有以下满足本运动控制卡设计的性能特点:
实现基于32位PCI总线的从设备接口:
转换为主动并行接口,8位数据,16位地址,I/O读写,存储器读和写:
可以设定PCI板卡的设备标识(Vendol ID、De-vice ID,Class Code等);
支持以字节、字或双字为单位对I/O端口或者存储器进行读写:
非突发存取的实测速度高达7MB/s,读写脉冲宽度为30ns~240ns可选,满足运动控制卡要求:
支持长度达240字节的I/O端口:
支持低电平有效的本地中断请求,支持中断共享:
内置4μs~1ms的硬件计时单元,用于软件运行过程中的延时参考[2]。
3 运动控制器
    目前传统的运动控制器多采用嵌入式高性能单片机(如MC6833l微控制器)或DSP(TMS320xxx或DSP56xxx)来实现,系统集成度不够高,电路复杂,且软、硬件研制周期长,性能一致性较难保证。采用专用运动控制器可以提高系统集成度、插补速度及可靠性,同时简化电路,缩短开发周期。
    目前市场上有多种运动控制器,如美国的PMD公司,日本的NOVA、KY()PAL、SEEK公司的产品。本设计采用日本NOVA公司的MCX314As,它是MCX314的改进型,能够同时控制4个伺服马达或步进马达,能以脉冲串形式输出,对伺服马达和步进马达进行位置控制、插补驱动速度控制等。具有许多突出功能。

(1)以固定速度或加/减速度输出指定数量的脉冲达到定量驱动;连续输出驱动脉冲,直至高位的停止命令或外部的停止信号有效时停止实现连续驱动。用于快速归零原点开关搜寻、扫描操作、控制马达旋转连续驱动速度等。
(2)设定各线段的加/减速曲线为定速、直线(梯形)加/减速或S曲线加/减速,使用S曲线加/减速可使运动更平滑,但降低了总速度。
(3)每个控制轴都有2个用于控制当前位置的32位可逆计数器,一个是在器件内部管理驱动脉冲输出的逻辑位置计算器,另一个是管理来自外部编码器脉冲的实际位置计数器;另外每个轴还有2个32位比较寄存器,用于比较逻辑位置计数器和实际位置计数器的位置大小,可作为软件限位控制。
(4)可以任选2轴或3轴,进行直线插补、圆弧插补、位模式插补驱动。插补坐标范围是从当前位置到一8,388,608~+8,388,608之间,在整个指定的直线插补范围内的插补精度是+0.5LSB.插补速度范围是1pps~4Mpps;位插补驱动可以产生任何插补曲线;同时可以进行直线插补→圆弧插补→直线插补的连续插补驱动,连续插补时最高达2Mpos。
(5)加/减速驱动的定速开始时、定速完毕时、驱动完毕时、位置计数器和比较器之间的大小关系变化时均会产生中断。此外,连续插补、位模式插补发生下一个数据请求时位置限制也会产生中断。
    其他功能主要有外部信号控制的驱动操作、脉冲输出/输入方式的选择、硬件限制信号输入、紧急停止、每轴具有8个通用输出信号和4个通用输入信号、驱动状态的输出[3]。
4 控制卡系统设计
    4.1控制卡硬件结构设计
    控制卡硬件结构如图1所示。PCI端的主要信号有地址、数据多路复用的输入/输出信号(AD[3l-O])、总线命令和字节使能多路复用信号(CBE[3-O])、奇偶校验信号(PAR)、帧周期信号(FRAME)、发起设备准备好信号(IRDY)、目标设备准备好信号(TRDY)、初始化设备选择信号(IDSEL)、设备选择信号(I)EVSEL)、时钟输入信号(CLK)、复位信号(RST)和中断信号(INTA)。这些信号完全符合:PCI规范的要求。

    控制卡本地端使用的信号主要有双向数据信号(D[7-0]),地址信号(A[14—0]),独立输出控制信号A15,I/O端口读/写控制信号(IOP_RD,IOP_WR)及中断信号(INTA)。CH365在I/O读写控制时只用到8根地址线A[7—0]。在本系统中,低4位地址信号A[3—0]作为MCX314As中寄存器的地址信号直接连到MCX314As,高4位A[7—4]作为译码电路的输入信号来产生MCX314As的片选信号,A[14—8]空闲不用,独立输出控制信号A15作为控制是否启用电子齿轮电路的选通开关信号。
    对于MCX314As,其RSq、端与CH365共用,该输入把:MCX314As的RESETN置为低电平且大于4周期以上时,执行复位。CS端为MCX314As的片选信号,由CPLD中的译码电路模块产生。H16L8端接地,设置电路处于8位数据总线访问方式,这是因为CH365的数据传输是8位。也正因为这样,本系统只用到MCX314As的16位数据总线D[15:0]中的D[7:0],而D[15:8]要经高电阻接至+5V。RD和WR分别为MCX314As的读、写信号线,当它们为低电平时,可以对MCX314As进行寄存器的读写操作。需要特别注意的是由于本系统采用8位数据传输,所以对于MCX314As中的16位寄存器分为高8位和低8位地址,读写操作必须访问2次。A[3:0]用来选择MCX314As的寄存器地址。BLTSYN连接MCX314As忙信号,处于低电平时不能执行写入命令。MCX-314As只有一个中断INTN,所有中断源都需进行“或运算”后输出到中断信号端口。与其他运动控制卡相比,本运动控制卡的最大特色是具有位插补功能、自动原位搜寻功能及电子齿轮设计。
    与直线、圆弧插补相比,位插补不是由几个参数和指令来产生任一特定的运动控制(如直线、圆弧等),它需要由上位机提供所有的插补数据。而这种插补模式却有很大的适应性,它对插补数据没有任何形式的限制,这无疑为实现样条插补、特殊函数曲线插补提供了方便,使得本运动控制卡在加工复杂轮廓曲线的工件时有很大的优越性,尤其适用于仿形机上的应用。由于位插补需要大量的插补数据,因此如何生成和管理这些数据就成为位插补模式应用的关键。一般来讲,插补数据都是由上位机提前生成的,这样,如何管理这些数据使其能及时写入而让插补连续进行就成为本设计系统的一个关键。针对这些数据“先进先出”的特点.本设计系统采用队列管理机制,并结合MCX314As中的堆栈计数器(SC),利用中断不断地将插补数据写入MCX314As中的4个(正反向各2个)数据缓冲区,从而保证了位插补的连续性。位插补的终止有2种方式:向正反方向各2个缓冲区都写入l;上位机停止写入数据。
    自动原位搜寻功能有助于机床快速归零到机床参考点。在此功能下,设计系统按选定轴负方向高速驱动至原点附近,然后以低速驱动直到原点信号或编码器Z信号激活而停止。再以设定的驱动速度往正方向快速归零到机床参考点。与其他控制系统的通过程序实现机制相比,该功能更为简单方便。
    在3轴极坐标螺旋仿形加工中,要求其中2轴(极径、极角)按工件外形轮廓曲线联动插补。第三轴按设定的螺距跟随极角坐标轴进给。在一般三轴加工中,上位机发送来的加工数据都为3维增量坐标,这里的第三轴增量坐标数据由设定的螺距决定,而M(2X314As的速度是按合成速度来控制的,这样就会出现下述情况:当粗加工螺距设定很大时。第三轴数据值就大,速度分量也很大,导致其它2轴速度分量大大降低,影响加工效率与质量。考虑到这种情况,本系统基于电子随动原理设计了电子齿轮,1轴可以按设定的比率从动于其他3轴中的任意l轴(类似机械齿轮传动),也可以解除这种从动关系的设定。在电子齿轮关系机制下,上述的3轴极坐标螺旋仿形加工就只需2轴数据,而第三轴按设定的传动比率跟随前者中的某一轴,从而理想地解决了这个难题。
    为了提高反馈脉冲的分辨率,本设计系统还采用了4倍频电路设计,对电动机的编码反馈脉冲进行4倍频处理。
    为了提高板卡的集成度,本系统使用VHDL硬件描述语言在(2PLD(MAX7000)中实现系统所需的一些外围电路。如地址译码(用于产生M(2X314As的片选信号)、4倍频电路、电子齿轮电路、速度倍率手轮译码等,限于篇幅,不再介绍。
    系统对电机的控制脉冲由MCX314As产生,并根据需要选择是否采用电子齿轮传动方式,然后经差分驱动输出驱动器产生差分驱动输出,既可以控制数字式交流伺服驱动器,也可以控制步进电机驱动器。电机编码器反馈信号(A、B、Z)经过高速光电隔离后输入CPLD,经过4倍频后传输给MCX314As的实际位置寄存器,用于位置显示和软件限位。通用输出信号经过74LS06型输出缓冲器输出。外部反馈脉冲(如超程限位信号、原位搜索信号等)及外部手动控制信号要经过光电隔离才能输入MCX314As。
    本系统采用MCX314As默认的16MHz频率作为时钟信号。该时钟决定了每个轴的正/负方向的驱动脉冲时间周期。图2示出MCX314As的时钟电路[4]。
    4.2控制卡系统的软件设计
    控制卡系统的软件设计主要是板卡驱动程序设计、CPLD硬件语言描述程序和MCX314As功能驱动函数设计。本系统的驱动程序主要是围绕(2H365来实现该板卡与PC的接口功能,选用DriverStudio 2.6开发工具,快速有效地开发了在Windows环境下用户级的WDM设备驱动程序,向该板卡的运用程序提供I/O基址、中断号等。CPLD硬件语言描述程序是用VHDL编写的,主要实现M(2X314As的片选信号、4倍频电路、电子齿轮电路、速度倍率手轮译码等外围电路功能。MCX314As的功能驱动函数设计是用于MCX314As的初始化、速度和加速度设置、直线插补、圆弧插补、归零搜索(1IOME)、硬件限位、位插补、数字信号的输入/输出和中断信号处理等功能函数设计。限于篇幅,这里只给出位插补的程序流程,如图3所示。
5 结束语
    使用高集成度的MCX314As型运动控制器能实现4轴控制或3轴联动的位置、速度、加速度控制,并能实现直线、圆弧、位3种模式的连续插补,具有接口简单、易于编程、工作可靠的特点。采用CH365作为PCI—ISA的桥接口,大大简化了PCI接口的设计。与其他以DSP或微控制器为控制核心的运动控制器相比,本设计虽然在功能多样性和灵活性上不如后者,但具有可靠性高、实时性好、适合列表曲线仿型加工时犬数据量快速连续加工的特点。
时间:  2006-7-7 07:53
作者: qqqq


LIN及混合信号工艺发展提升汽车传感器

  
  电子产品在汽车中日益突出的重要性引发了对低成本、高可靠性传感器及传动装置日益增长的需求。这些器件并非独立存在,而是必须与系统的主电子控制单元 (ECU) 进行通信。过去的传感器/传动装置通信通常采用单向模拟信号,每一远程设备都采用自己的专线与 ECU 连接。由于汽车环境充满电气噪声,因此很难在这些线路上保持信号完整性,而且系统的可靠性也会受到影响。布线会带来其他问题 ——占用空间,增加重量及成本且难以维护。幸好数字多路复用通信技术可以解决上述问题,这种技术可以保持信号完整性,减少所需线路数量并提供实现整台车辆智能控制的新机会。
  当今的两大趋势——汽车通信总线标准化及半导体技术正推动着智能化更高的传感器与传动装置的发展,同时还通过高效的通信来扩展汽车电子系统的应用领域。局域互联网 (LIN) 总线架构现已经发展到 2.0 版,能够满足对传感器/传动装置简单通信方案的需求,可通过标准化降低成本并提高鲁棒性 。LIN 标准的面世还迎合了混合信号半导体工艺技术的发展,它们一起可以实现传感器和传动装置连接到单个集成电路 (IC) 所需要的所有典型功能。而且,LIN标准和高级混合信号工艺还一起为汽车制造商带来了引入低成本、新型电子系统与降低现有系统成本的机遇。在为车主带来高度便利性及安全性的同时,它们还可以提高维护性能和可靠性。
退而求次
  在目前的汽车电子总线标准中,LIN 能够提供满足传感器及传动装置信号传输需求的最佳解决方案。有多种专有解决方案可以通过脉宽调制 (PWM) 或可调脉宽 (VPW) 等简单方案将信号进行数字化传输。这些方案都基于各种物理层 (PHY) 设计,在这些方案中,每个传感器或传动装置一般都需要一条通信线路,而且传感器到 ECU 或 ECU 到传动装置的通信一般采用单向输出。因此,这些网络架构不能实现双向通信及诊断,从而限制了它们的用途。另外,由于它们属于专有解决方案,从而影响行业通过实施开放标准实现规模经济与设计再利用。
  变通的选择是采用成熟的通信标准(如:控制局域网 (CAN) 总线)在传感器/传动装置接口与 ECU 之间传输信号。但是,CAN 及类似的通信一般需要采用微控制器和辅助电路,以至于产生超出传感器及传动装置所需要范围或合理范围的复杂性和成本。此外 CAN 还基于双线总线,而最佳解决方案只需采用一条线路实现低速、低成本通信。
简单的开放式标准
  尽管 LIN 最初的设计目标是车体电子,但是它在新应用中同样有用武之地,其中之一就是传感器/传动接口。LIN 2.0 指定的 20.0kbps 数据速率足以满足大多数传感器及传动装置的需求,而且 LIN PHY 与协议控制器可以轻松集成到用于远程设备的混合信号集成电路中。LIN 2.0 规范包含描述物理层与数据链路层的协议定义;另外该规范还包含定义系统配置及网络节点间通用接口的配置语言说明,该说明也可用作开发及分析工具输入资料;同时包含针对附加软件的应用编程接口 (API) 定义。作为 LIN 联盟专门为汽车应用定义的标准,该标准可实现无缝的开发及设计工具链,同时提高网络的开发速度与可靠性。

  LIN 是单线传输方式,从而可以降低布线和线束 (wiring harness) 要求,进而有助于减少重量和节省空间与成本。此标准规定单主节点具有 16 个独立从节点。通信由主节点按计划触发,因此无需在同时报告设备之间执行判优操作。从节点具有自同步特性,可采用片上 RC 振荡器而不采用晶体或陶瓷谐振器,从而大幅降低成本。LIN 协议可以保证信号传输的时延,实现系统的可预测性——这是大部分传感器/传动装置信号的关键要素。协议极其简单,可通过异步串口 (UART/SCI)运行。因此,芯片实施成本很低,使 LIN 成为混合信号工艺技术的绝佳总线解决方案——而这种工艺技术主要用于生产汽车传感器及传动装置采用的信号调节与输出 IC。
实现混合信号工艺
  LIN 标准是汽车传感器/传动装置通信的重要进步,不过,在与混合信号半导体工艺的最新发展融合之后,它的重要性更彰显突出。现在,由于能充分利用自己在高速 CMOS 数字工艺及先进的模拟工艺两方面的专业技术,IC制造商得以实现几年前还是天方夜潭的系统集成水平。适用于汽车传感器/传动应用的典型高级混合信号工艺包括:线性 Bi-CMOS (LBC)、高压 CMOS、以及绝缘硅 (SoI)工艺。上述许多工艺都可以实现整个传感器/传动装置电子的单片片上系统 (SoC) 实施,其中包括电源、高压、数字逻辑、存储及高精度模拟功能。

  如果芯片上需要智能性,先进的混合信号工艺允许在 LIN 协议控制器之外集成合理水平的数字逻辑。例如,设计可能包含能够报告传感器或传动装置状态的逻辑器件,以便使诊断功能既能用于及时维护又能用于终生可靠性数据库开发。下一代混合信号工艺技术将允许微控制器肽D夤δ芤黄鸺伞4死嗥舷低臣啥孕矶嘤τ镁哂屑叩募壑担纾?#8220;点触式”车窗玻璃升降器——其需要运行一种防止玻璃上升时挤伤手指的算法。而对于需要更复杂、更快通信的应用,实现 LIN 通信集成的半导体功能还允许在混合信号器件中集成 CAN 功能。
传感器/传动装置举例
  德州仪器(TI)的 TPIC1021 LIN-2.0 收发器是利用高级混合信号集成技术提高 LIN 兼容传感器及传动装置通信的鲁棒性并且保持其低成本的基石。这款收发器基于 TI 的LBC4 线性 Bi-CMOS 工艺,采用汽车电池电压工作,因此无需外部电源。故障保护功能使设备能够承受 LIN 总线上-40V ~ +40V的电压,同时片上静电放电 (ESD) 保护功能可以承受高达 17kV(国际电工委员会)和 21kV(人体模型)的峰值电压。在此基础上可以集成把传感器或传动装置连接到汽车电网与 LIN 网络所需要的更多元件。典型的片上功能包括:满足系统要求的汽车电压调节器、传感器输出中前端输入的模拟滤波功能、模数转换器 (ADC)、数字滤波与控制、以及 LIN 兼容的协议控制器。图1举例说明了一个基于 LBC4 的、全面集成的传感器接口。此款器件所具有的高集成度与电路保护功能使其正好适合于空间及成本非常有限的恶劣的汽车环境。
  而 TPIC10271 传动装置接口是一款基于 LBC-4 D 的专门为汽车应用设计的器件。它集成了采用电池电源的 3.3V 电压调节器/监控器、到用户开关的高压接口、用于位置传感器或其他类型传感器的高侧 FET(场效应晶体管)驱动器、用于马达控制继电器的两个低侧 FET 驱动器、反馈运算放大器、保护电路以及与 LIN 兼容的 PHY(图 2)。输出直接连接至用于控制算法的微控制器,如:玻璃升降器的防挤伤监控功能。与 TPIC1021 以及 TI 混合信号系列产品中的其他器件一样,TPIC10271 在不工作期间进入省电的休眠模式,而且具有低电磁干扰 (EME) 与高抗电磁干扰能力 (EMI)。
  对于其他应用,同样的混合信号工艺技术除了可以集成上述两款器件中的功能块以外还可集成其它功能,包括:用于单轨及多轨的低压降与开关电压稳压器、不同配置的高/低侧驱动器、各种运算放大器、数字逻辑器件、以及 LIN 接口等通信接口。可用的传动接口包括:H桥、适用于DC刷/三相直流有刷马达的智能驱动器、以及继电器驱动器。这些驱动器用于电动座椅及后视镜、门锁、风档雨刷及除霜器、玻璃与天线升降器、暖通空调系统 (HVAC)、以及用于提供用户舒适及安全性的各种其它电子系统。
系统优势
  将传感器/传动装置信号及通信接口改变为与 LIN 兼容的混合信号 IC 可以产生多种系统级优势。首先是提高系统鲁棒性与诊断功能。线路数量减少可降低成本以及潜在的故障源,且由于 LIN 可以实现双向通信,主设备可从子设备处获取诊断信息,而从设备可在发生系统问题时提供故障信息。此外,LIN 消除了对专有接口的需要,通过采用基于公开、可靠标准的通用通信方案既可开发组件及软件。
  利用 LIN 可构建只需三条线(电池、接地及 LIN)的传感器或传动装置,从而降低布线与线束要求。器件壳体更小,实现更好的传感器/传动装置布置,而无需过多考虑布线问题。LIN 及先进的混合信号工艺可以在许多方面降低系统成本:组件数量减少;库存降低;更紧凑、更简单的印刷电路板与传感器/传动装置壳体;使用片上振荡器取代晶振或谐振器系统作为时钟源;更高的可靠性。上述部分因素还可减少重量与空间消耗——汽车设计中始终不变的追求。
  这种进步仅仅是汽车传感器及传动装置智能与功能发展迈出的第一步。下一代混合信号汽车 IC 将集成更小的微控制器,能实现可编程功能以及更高的灵活性,从而满足汽车的未来需求。随着汽车传感器与传动装置的智能化不断提高,汽车设计师可以自由地设想汽车系统的智能应用。
时间:  2006-7-7 07:53
作者: qqqq


可为汽车电子系统提供网络联系的灵活平台

  
  由于汽车需要面对多种不同的路面及驾驶情况,因此车内的电子系统必须采用多种不同的网络标准,才可满足不同的性能要求。为了更好地满足不同网络标准的要求,车内电子系统的互连网络便一直朝着网中网模式的路向发展。网中网模式基本上以远程信息控制单元(TCU)为硬件,是连接车内电子系统所有通信网络的硬件接口。至于软件方面,传输控制协议/因特网协议(TCP/IP)的应用层可视为连接车内不同通信网络的通用软件,让我们可以通过网络操控车内的所有电子装置。应用软件只要利用传输控制协议/因特网协议作为通用接口,便可与其它节点上的应用程序完全运作互通。
  本文主要介绍网中网设计的分层式软件结构,以及讲述网中网如何利用其分层式软件支持新一代汽车的电子装置,其中包括汽车电子系统控制(动力传动、车速排档、空调/暖风系统等)、音像娱乐系统、移动电话及因特网接入系统,以满足未来一代驾驶者的要求。
分层通信协议
  分层的结构可提高通信协议的灵活性及可延展性。某一功能层可将其具体实施情况的数据收藏起来,以免较高级的功能取得这些数据,以确保这些高级功能不会受其它功能的执行方式所影响。例如,文件传送协议甚至可能不知道究竟是采用光纤、有线还是无线技术传送有关数据。只要所有数据链路协议都采用同一的协议,较高层的协议便可改用任何一个协议。
  图 1 显示分层通信协议只要为编程人员提供高级应用程序编程接口 (API),便可简化软件的设计。若编程人员想传送文件,高级文件传送协议可以为其提供一组最简单的服务,让编程人员可以列明文件服务器名称、源文件名称、目的文件名称等。

  从应用软件编程人员的角度看,应用程序编程接口可以视为高度简化的用户接口,能够不受其它功能层的影响独立处理与数据通信有关的所有麻烦问题。应用程序编程接口是一条理想的虚拟连接,让编程人员无需理会奇偶错误、流量控制等细节。编程人员只需提供建立连线所必要的信息。
  当数据通信达到某一层级之后,我们便必须为传送的数据提供一条物理连线,但应用程序编程接口与物理层之间的功能层可以处理所有底层的操作,以便支持这条虚拟连线。
  这个模型分为以下四层:
  ● 应用程序层:为应用软件提供可以直接使用的协议,其中包括文件传送协议(FTP)、简单邮件传送协议(SMTP)、超文本传输协议 (HTTP) 等。
  ● 传输层:传输控制协议 (TCP) 采用双向的端至端连线,可以传送 TCP 信息段。用户数据报协议(UDP) 采用报文传送的模型,以收发 UDP 数据报。

  ● 网络层:负责通过网络传送及收发信息包。大部分网络层都采用因特网协议 (IP),以传送称为 IP 数据报的信息包。
  ● 物理层:这是连接数据链路硬件的接口。协议堆栈有不同的功能层,这一层真正负责将数据载入通信外围设备寄存器及存储缓冲器或从中取出。控制器区域网(CAN)及以太网等网络都采用公共电缆网络连系标准。称为微微网(piconet)的蓝牙射频网络是无线耳机、手机免持听筒远程控制系统及其它短程音频/数据通信系统等普遍采用的无线通信网络。无线红外线通信系统则普遍采用 IrDA 标准。
  每一功能层无需知道其上下层的操作方式。事实上,每一功能层必须不受这些操作方式的影响,才可与不同功能层的其它服务在运作上互通。例如,网络层只负责将信息包由信源传送至目的地,本身并不知道也无需理会这些信息包是利用单向UDP数据报通信方式还是双向 TCP 连线传送信息。
  分层协议具有较大的延展性,因为按照协议规定,所有链路必须采用模块式结构及标准接口。若果有人发明了一种全新的数据链路技术,并想在新媒体内运行协议的全部堆栈,那么他只需编写一套兼容的物理层驱动程序便可。其它的功能层全部无需更改,因此技术的换代更新变得非常简单容易。
网中网模型
  由于不同的情况有不同的要求,因此未来一代的汽车需要为其电子系统装设多种不同的通信网络,以确保其稳定性及带宽都可满足不同情况的要求。我们只要采用分层协议及中央网关处理器,便可解决不同网络之间的相互通信问题。
  以下是新一代汽车可能会装设的车内通信网络:
  ● 控制器区域网:这种中频频带网络具有高度可靠的特性,是几乎所有汽车都必定装设的标准网络。
  ● 蓝牙微微网:这是专为移动电话及笔记本电脑而设的中频频带无线通信网络,也是几乎所有汽车都必定装设的标准网络。
  ● 音像网络:这是专为播放音像制作的高频频带网络,目前市场上有多种不同的适用协议,其中包括国内数据总线 (Domestic Data Bus, D2B)、FireWire (IEEE 1394)、媒体导向系统传输 (Media Oriented Systems Transport, MOST) 以及移动媒体链路 (Mobile Media Link, MML) 等。
  ● 低成本有线网络:这是一种采用通用异步收发器的网络,而且还配备 I2C、SPI 及 MicroWire 等接口,使不同芯片可以获得直接的总线连系,因此是最适合小键盘、显示屏及传感器采用的低成本接口。
  ● 低成本无线网络:这是采用 ZigBee 或其它专用网络的低频频带无线网络,可为轮胎胎压传感器、报警与门锁的射频远程控制键以及其它电子系统提供低成本的无线连系。
  未来一代的车内电子系统互连网络一般都会采用多种不同的控制器区域网。这些控制器区域网主要分为高速与低速两种。速度低至10kbps~125kbps的低速网络可为不同控制装置提供联系,例如启动器可以通过网络控制倒后镜的移动角度,而尾灯群组则可利用这些网络缩短互连线路。此外,速度高达 126kbps~1Mbps的高速控制器区域网可支持性能要求较高的重要功能如动力传动控制。
如何为汽车电子系统建立网络连系
  图2 显示可让用户进入汽车电子系统互连网络进行诊断的无线远程接口系统,其中的远程信息控制单元可以利用极具成本效益的芯片制造。
时间:  2006-7-7 07:54
作者: qqqq


输出能驱动多个负载的立体声放大器IC

  
  新一代直接耦合立体声放大器  IC可以直接驱动耳机和扬声器,省掉了体积庞大而昂贵的输出耦合电容器。很多这类放大器还带有一个电荷泵,用于产生内部负电压轨,这样在使用单一正电压供电时,可以提供一个双极性输出摆幅。但是,如果应用需要在两个或两个以上的耳机或其它负载之间切换放大器的输出,单用一个简单的电子模拟开关是肯定不能实现的。很多模拟开关无法处理超过正电源电压VDD 或低于地电平的信号。根据VDD 的最大值,可以采用下面两种方案之一。
  如果VDD低于2.8V(图1),可为 IC2选择一款开关,如Maxim公司的MAX4762,它可以处理低至-5V的VSS 负信号,而VDD 范围可以从1.8V至5.5V,典型导通电阻为 0.6Ω。如果VDD 降至2.8V和5V之间,则IC2 选用双电源、低导通电阻的开关,如Maxim公司生产的MAX4679(图 2),配用的差分立体声放大器IC1 为 MAX9722B,以处理较高的VDD。对于开关的负电源,可以用 MAX9722B 中产生的负电压,省去了附加的电荷泵供电电路。

  为了改进手机的设计,可以用一个立体声耳机接口同时容纳免提套件的耳机和话筒。立体声耳机插头的顶触点用于耳机,环触点则用于话筒,而外壳触点则是对地公共连接(图3)。当连接免提套件时,必须关掉耳机放大器IC1的一个通道。虽然 MAX4411放大器自己有单独通道关断功能,但被关断时,器件对地的输出阻抗为2 kΩ。

  驻极体话筒里一般带有一个漏极开路JFET输出电路,它需要用一支2kΩ电阻器R1连接到一个低噪声、约 2V的正电源电压上。该电阻器为JFET提供直流偏置,使驻极体话筒的音频输出信号出现在输出端子上。在大多数应用中,话筒的输出通过一个交流耦合电容器C1,直接连接到一个高阻、低噪声放大器IC3上。

  放大器2kΩ的对地关断阻抗成为话筒的沉重负载,分流了话筒的直流偏置,使之离开自己最佳工作范围,降低了输出及SNR。在话筒与耳机放大器输出之间增加模拟开关IC2可保持话筒的偏置以及阻性负载。

时间:  2006-7-7 07:54
作者: qqqq


基于SDA80D51的以太电话机的设计
  
    一、引言
    随着互联网技术的不断发展,VoIP(Voice over IP)技术已经成为语音通信技术领域的一个强有力的竞争者。VoIP是利用网络作为传输载体实现语音通信的技术,以太电话机则是以VoIP为基础实现的语音通信终端。在未来的几年内,IP电话必将在电信市场占到举足轻重的地位,对以太电话机的研究是符合市场需求并具有巨大的市场潜力和发展前景。以太电话机价格相对昂贵和话音质量方面的问题是目前开发工作的重点。本设计是基于德国Infineon公司的SDA80D51芯片实现的,因为芯片的选择和设计上的巧妙,使该话机具有集成度高、结构简单、成本低等特点,具有较高推广价值。
    二、硬件设计
    话机采用SDA80D51芯片和以太网接口芯片RTL8019AS实现。由于采用了高集成的SDA80D51芯片,使系统硬件电路结构简单,整体硬件成本低廉、集成度较高。
    1、SDA80D5l
    英飞凌公司生产的SDA80D51芯片是一种具有特殊结构的微处理器,是将16位DSP和增强型微处理器(8051微处理器的扩展版本)高度集成,并同时集成有模/数转换单元(ADC与DAC),面向语音处理的专用芯片。芯片同时提供有一个内存控制单元MMU和片上104kb的RAM。它具有程序和数据分开的总线结构,流水线操作功能,单周期硬件乘法器和适合数字信号处理的高效指令集。此芯片的C编译能力很强,汇编出的目标代码效率会更高。正是此芯片高度集成后具备的强大功能和其相对低廉的价格,使其成为设计此系统的首选。
    SDA80D51的基本结构如图1所示,由其组成单元来看,实现三种主要功能,即语音压缩(DSP)、系统管理及信令控制(8051微处理器)、语音编码(CODEC)。语音信号在芯片集成的语音采样接口模块进行A/D和D/A转换,语音的压缩处理则由DSP模块进行,但传输的语音数据打包是由这个C51模块完成的。编解码压缩处理后由控制模块进行对语音的接收发送控制,同时主控模块还要完成对整个系统控制工作。
图1 SDA80D51工作原理示意图

    2、网络接口控制模块
    网络接口控制模块采用Realtek公司的具有高度集成化的以太网控制芯片RTL8019AS。这一芯片具有很多优点,已广为采用。它与NE2000兼容,支持8位、16位数据总线;全双工,收发可同时达到10Mbps,有睡眠模式,可有效地降低功耗;内置16kb的SRAM用于收发缓冲,可减轻主处理器在速度上的压力;可选择连接同轴电缆和双绞线,并可自动检测所连接的介质。
基于SDA80D51的以太电话机的设计


    系统使用RTL8019AS来实现以太网媒介访问层(MAC)和物理层(PHY)的功能,包括MAC数据帧的收发,地址识别,CRC编码校验,超时重传,链路完整性测试,信号极性检测纠正等。主处理器在RTL8019AS的外部总线上读写MAC帧。其中,接收控制器用于将接收的串行数据拼成字节送到CRC校验单元,发送控制器则是将送来的字节送到CRC编码单元并逐个按位移出;CRC逻辑在接收时对输人的数据进行CRC校验,发送时对帧数据产生CRC校验序列,并附加在数据尾传递;地址识别逻辑对接收帧的目的地址与预先设置的本地物理地址进行比较,如不符合要求则拒收。RTL8019AS还使用内部存储缓冲器对与核心控制单元数据交换进行缓冲,以优化系统的数据传输速度。
    3、其它电路设计
    考虑到协议代码的存储需求,使用一块FLASH作为扩展存储单元存放代码,同时还设计了拨号键盘到核心控制单元的控制电路。硬件的设计还可以根据不同的需求,进行相应的调整,以实现其它的增值业务功能。
    三、软件设计
    系统的软件是基于SDA80D51设计开发的。话机软件结构框图2如下:
图2 以太电话机软件框图

    系统软件开发以C51的C语言编程为主,对占用系统资源比较大的部分使用汇编语言来完成。程序开发主要完成网络接口控制、TCP/IP协议栈、RTP/RTCP、H.323协议栈、语音编解码和主控程序这6部分。
    1、TCP/IP协议栈的实现
    通常编程环境中,TCP/IP协议栈的功能是基于操作系统中相应的socket机制实现的,像以太话机这种嵌入式系统,考虑到操作系统占用资源太大,一般不采用任何操作系统。这样,只能由用户编程来完成任务调度、存储器管理和TCP/IP协议栈等功能。
    在协议栈开发时,充分考虑了资源有限,在保证实现以太电话正常工作的前提下,从两个方面进行了TCP/IP协议栈的优化,从而使开发得到的协议栈程序代码最少,RAM的需求最低。
    (1)、协议栈本身的优化
    根据系统的实际需求,只实现TCP/IP协议栈的部分协议,即:ARP(地址解析协议)、IP(互联网协议)、ICMP(互联网控制报文协议)、UDP(用户数据报协议)、TCP(传输控制协议)等5部分。协议栈的编程采用事件驱动机制,采用ARP完成对电话的寻址,通过对相应的应用程序的调用来实现事件响应(如图3所示)。

图3 TCP/IP协议栈实现过程示意图

    (2)、协议功能的优化
    在对协议栈优化的过程中,结合系统的使用要求,还针对每个协议的一些不必要的功能进行了简化。例如,对于TCP协议,标准的TCP协议使用慢启动的滑动窗口机制。在实现TCP协议时,考虑到系统所需传送的信令数据很少,基本不可能产生拥塞,同时为了减少存储器的使用,TCP只使用单个窗口,就变成了一种简单确认的处理方法。所有的处理只是对单个数据报的发送和确认,节约了系统的资源,也使维护更加方便。同时考虑到,话机系统的突发事件很少,这样对于流量控制可以不做考虑。对于差错控制,程序处理过程中只对信令数据设置重传机制,而语音数据处理不考虑重传。
    (3)、存储空间的共享
    协议开发中,为了尽量减少对存储空间的需求,设计使用共用缓存区用于输人输出数据的存放。其中RBuff用于存放网络接口收到的数据,SBuff用于存放要发送的数据。这样各程序之间只提供相互要处理数据所在的指针,就可以完成数据的传递,从而节约了大量的存储空间,同时也利于工程研发人员之间的相互协调。
    2、H.323协议的信令过程
    信令控制过程是H.323以太话机控制的核心内容之一,整个H.323终端系统的信令控制是由H.245、H.225.0和RAS这3个协议来实现的。在两个话机间建立基于H.323的呼叫连接,需要使用TCP建立两个连接。其中第一个TCP的连接承载的是H.225.0中定义的呼叫建立消息,通常叫做Q.931通道或呼叫信令通道。第二个TCP连接承载H.245呼叫控制消息,在收到呼入的同时,被呼叫方也在一个动态端口上监听TCP的连接。被呼叫方于是在这个端口上应答呼叫接收信息。然后,呼叫方会随后在这个端口上建立第二个TCP连接。一旦H.245通道建立成功,第一个连接就不再需要了,任何一端都可以将其关闭。终端使用H.245通道交换音频信息格式,即能力交换,并确定主从关系。同时通过它打开音频的逻辑信道,从而建立用于媒体流传输的RTP会话。H.245通道在会话过程一直保留,因为它还要关闭媒体通道。
    一个话机到话机的基于H.323协议的简单呼叫建立过程有5个阶段(如图4所示),即呼叫初始化、建立控制通道、呼叫开始、通话和结束呼叫。
图4 呼叫建立过程示意图

    在设计中,考虑到系统不同于IP电话网关系统,只需实现单路连接,同时考虑到系统资源有限的特点,对H.323协议栈的实现进行了很大程度的简化。其中H.225只实现四个,即SETUP、ALERTING、CONNECT、RELEASE COMPLETE;RAS实现基本的6个功能,也就是网守发现、端点登记、端点定位、呼叫接纳、呼叫退出和状态查询;建立H.245通道只保留了能力交换、主从确定、开闭逻辑信道和终止会话消息。在实现上述3个协议的过程中,对于它们每个消息中的可选项参数,在编码时尽量简化,解码时保留。通过采用上述的措施优化H.323信令过程,尽可能的减少了代码量和存储器空间,同时又保证了与其它产品的兼容性。
    3、以太话机中语音的处理过程
    以太电话机进行语音传输,要完成以下几个过程:模拟语音首先经CODEC编码为64K的PCM码,经压缩形成语音数据;语音数据再由RTP封装后再交由UDP进行封装;携带语音数据的UDP数据报在IP层被封装为IP包;最后IP包通过以太网接口程序形成以太帧发送至以太网上传送;携带语音数据的以太网帧在目的话机被以太网卡接收并拆包恢复为语音数据,其处理是语音发送的逆过程,语音数据解码为模拟语音送至话筒。
    4、系统性能优化
    嵌入式系统在开发中的优化十分重要。根据处理器的性能,尽可能地提高代码运行速度,减少代码和数据存储空间,这是系统优化所要解决的基本问题。虽然C编译器提供了一定的代码优化,但编译器的优化仅涉及执行速度和代码大小的平衡,不能使程序达到小而精,因而必须在开发程序时采取一定的优化方案。系统调试中,在确保系统稳定工作的基础上,采取了以下的措施进行系统优化。
    对于代码优化主要采取以下措施:
    (1)、根据发生频率对条件跳转语句排序,提高代码运行速率。
    例如switch-case、if这类语句,程序中使用了很多,而每一个由机器语言实现的测试和跳转仅是为了决定下一步要做什么,这样就浪费了处理的时间。优化时对具体情况按照发生的相对频率排序,即把最常发生的情况放在第一位,最不可能发生的情况放在最后,这样会减少平均的代码执行时间,从而有效的提高程序的运行速度。
    (2)、尽量使用全局变量,减少函数调用参数。
    这样比向函数传递参数效率更高,去除了函数调用前参数入栈和函数完成后参数出栈。
    (3)、努力减小代码的大小。
    尽量避免使用标准库例程,很多大的库例程没法处理所有可能的情况,会占用庞大的内存空间,因而应尽可能地减少使用标准库例程。  
    对于存储区优化问题,是使用了下面一些方法进行的:
    (1)、使用前述协议栈开发提到的共用缓冲区的方法。
    由于基于协议的数据封装的特点,在对共用缓存区的数据进行处理时,只需在程序中使用地址指针进行调用,这样在保证系统正常工作的前提下,大幅度减少了对数据存储空间的需求。同时,使用指针代替数组索引还能使代码运行速度加快。
    (2)、编程时将一些固定的数据定义为代码类型,同样可以有效的减少存储区的使用量。
    优化过程中,还考虑到代码优化与存储区占用量的相互影响,以及程序可读性等问题。综合考虑以上因素后,通过以上的措施以及对协议实现的具体优化,得到了优化的以太电话系统。在优化前的程序,数据段需要至少150K字节;优化后的系统中,数据段不到70K。同时,系统的响应速度也得到较大提高。由此可以看出,对程序的合理优化,对提高程序运行的稳定性和系统处理速度,都可以起到至关重要的作用。
    四、结束语
    嵌入式以太网技术作为嵌入式系统发展的热点之一,越来越多的解决方案在不断的被提出和验证。本文提出的设计是在现有的以太网嵌入式系统基础上,使用SDA80D51芯片,实现较高性价比的以太网电话机。由于该芯片的集成度很高,设计电路时就省去了许多的相关模块,使得设计得到的硬件简单,便于调试检测。同时通过对协议栈等的优化,使系统达到了预期的低成本,高可靠性等特点。该设计得到的样机,工作稳定、可扩展性强,在以太网环境的语音传输完全可以达到预期目标,整个设计方案和实现方法是可行的。

时间:  2006-7-7 07:54
作者: qqqq


高速以太网CRC校验的实现
    一 引言
    为了确保数据在计算机系统中传输和存储中正确可靠,引入了信道编码。对于信道编码有两个方面,一是要求编码后的码流频谱适应信道频率特性,二是检测并纠正产生的误码。前者属于谱成形技术,后者为差错控制技术。CRC码属于后者。它是通过增加冗余信息,达到发现误码的目的。常见的冗余校验有奇偶校验,海明校验,循环冗余校验。
    本文讨论循环冗余校验(CRC)的实现,及其在以太网中的应用。
    二 循环冗余码介绍
    1循环冗余码是建立在近世代数基础上的。编解码电路简单,检错能力强。在计算机系统的数据存储及传输中得到广泛应用。

    2编码原理
    设待发送比特数据为D(x),生成多项式为G(x)。信息码长k位,校验码长n-k位,则编码后的码长为n位。如图1:

    编码步骤:信息数据D(x)乘以 ,得到的多项式除以生成多项式G(x),最终得到的余式R(x)即为CRC校验码。它跟在信息码后一并发往信道。
    并不是所有的多项式都可以做位生成多项式G(x),常见的生成多项式有:
CRC8=X8+X5+X4+1
CRC-CCITT=X16+X12+X5+1
CRC12=X12+X11+X3+X2+1
CRC16=X16+X15+X5+1
CRC32=X32+X26+X23+X22+X16+X12+X11+X10+X8+X7+X5+X4+X2+X1+1
    3  CRC32的实现
    以太网信道编码采用的是CRC32,所以在这里给出CRC32的实现,它在一般CRC基础上增加了些细节。
    介绍以太网MAC帧结构

    从数学上讲,相应给定帧的CRC值由下述过程定义:
(1)       对该帧的前32位作求补运算。
(2)       然后,将该帧的n位看作为(n-1)阶多项式M(x)的系数。
(3)       M(x)乘以 ,然后除以G(x),得到余式R(x)。
(4)       对该比特位逐位求补,结果作为CRC。
    主要实现方式有串行和并行两种:
    (a)通过线性反馈移位寄存器串行实现(以CRC-CCITT为例),见图2

    信息流由低位送入寄存器,当所有信息比特送入寄存器完毕后,寄存器中则为校验码。
    (b)CRC32的并行实现
    串行处理对于高速以太网如100M,10G等,显然是不合适的,在此我门给出CRC的并行实现方法,以一个字节位处理单位。下面给出C语言实现的CRC32源程序:



    由于以太网CRC32要求对帧的前32bit取反,我门可以初始化寄存器为全1来达到此目的。
    Crcbuff中存放需要编码的信息比特,最后计算出CRC校验码,跟随信息码一同发送出去。
    4总结
     本文是针对项目中嵌入式设备的联网问题,设计的CRC32校验算法,已经在FPGA中成功实现,由于用FPGA实现编码相对简单,这里就不再累述。

时间:  2006-7-7 07:55
作者: qqqq


光纤光栅传感器技术及其应用
   

  
    概述光纤光栅传感器的基本原理及实际应用,介绍了光纤光栅传感器在地球动力学、航天器及船舶航运、民用工程结构、电力工业、医学、和化学传感中的应用。
    一、    前言
    1978年加拿大渥太华通信研究中心的K·O·Hill等人首次在掺锗石英光纤中发现光纤的光敏效应,并采用驻波写入法制成世界上第一根光纤光栅。1989年,美国联合技术研究中心的G·Meltz等人实现了光纤Bragg光栅(FBG)的UV激光侧面写入技术,使光纤光栅的制作技术实现了突破性进展。随着光纤光栅制造技术的不断完善,其应用的成果日益增多,从光纤通信、光纤传感到光计算和光信息处理的整个领域都将由于光纤光栅的实用化而发生革命性的变化,光纤光栅技术是光纤技术中继掺铒光纤放大器(EDFA)技术之后的又一重大技术突破。
    光纤光栅是利用光纤中的光敏性制成的。所谓光纤中的光敏性是指激光通过掺杂光纤时,光纤的折射率将随光强的空间分布发生相应变化的特性。而在纤芯内形成的空间相位光栅,其作用的实质就是在纤芯内形成一个窄带的(透射或反射)滤波器或反射镜。利用这一特性可制造出许多性能独特的光纤器件。这些器件具有反射带宽范围大、附加损耗小、体积小,易与光纤耦合,可与其它光器件兼容成一体,不受环境尘埃影响等一系列优异性能。光纤光栅的种类很多,主要分两大类:一是Bragg光栅(也称为反射或短周期光栅);二是透射光栅(也称为长周期光栅)。光纤光栅从结构上可分为周期性结构和非周期性结构,从功能上还可分为滤波型光栅和色散补偿型光栅,色散补偿型光栅是非周期光栅,又称为啁啾光栅(chirp光栅)。目前光纤光栅的应用主要集中在光纤通信领域和光纤传感器领域。
    在光纤传感器领域,光纤光栅传感器的应用前景十分广阔。由于光纤光栅传感器具有抗电磁干扰、尺寸小(标准裸光纤为125um)、重量轻、耐温性好(工作温度上限可达400℃-600℃)、复用能力强、传输距离远(传感器到解调端可达几公里)、耐腐蚀、高灵敏度、无源器件、易形变等优点,早在1988年就成功地在航空、航天领域中作为有效的无损检测技术,同时光纤光栅传感器还可应用于化学医药、材料工业、水利电力、船舶、煤矿等各个领域,还在土木工程领域(如建筑物、桥梁、水坝、管线、隧道、容器、高速公路、机场跑道等)的混凝土组件和结构中,测定其结构的完整性和内部应变状态,从而建立灵巧结构,并进一步实现智能建筑。
    二、    光纤光栅传感器的工作原理
    我们知道,光栅的Bragg波长λB由下式决定:
λB=2nΛ                   (1)
    式中,n为芯模有效折射率,Λ为光栅周期。当光纤光栅所处环境的温度、应力、应变或其它物理量发生变化时,光栅的周期或纤芯折射率将发生变化,从而使反射光的波长发生变化,通过测量物理量变化前后反射光波长的变化,就可以获得待测物理量的变化情况。如利用磁场诱导的左右旋极化波的折射率变化不同,可实现对磁场的直接测量。此外,通过特定的技术,可实现对应力和温度的分别测量,也可同时测量。通过在光栅上涂敷特定的功能材料(如压电材料),还可实现对电场等物理量的间接测量。

    1、    啁啾光纤光栅传感器的工作原理
    上面介绍的光栅传感器系统,光栅的几何结构是均匀的,对单参数的定点测量很有效,但在需要同时测量应变和温度或者测量应变或温度沿光栅长度的分布时,就显得力不从心。一种较好的方法就是采用啁啾光纤光栅传感器。
    啁啾光纤光栅由于其优异的色散补偿能力而应用在高比特远程通信系统中。与光纤Bragg光栅传感器的工作原理基本相同,在外界物理量的作用下啁啾光纤光栅除了△λB的变化外,还会引起光谱的展宽。这种传感器在应变和温度均存在的场合是非常有用的,啁啾光纤光栅由于应变的影响导致了反射信号的拓宽和峰值波长的位移,而温度的变化则由于折射率的温度依赖性(dn/dT),仅影响重心的位置。通过同时测量光谱位移和展宽,就可以同时测量应变和温度。
    2、    长周期光纤光栅(LPG)传感器的工作原理
  长周期光纤光栅(LPG)的周期一般认为有数百微米,LPG在特定的波长上把纤芯的光耦合进包层:λi=(n0-niclad)·Λ。式中,n0为纤芯的折射率,niclad为i阶轴对称包层模的有效折射率。光在包层中将由于包层/空气界面的损耗而迅速衰减,留下一串损耗带。一个独立的LPG可能在一个很宽的波长范围上有许多的共振,LPG共振的中心波长主要取决于芯和包层的折射率差,由应变、温度或外部折射率变化而产生的任何变化都能在共振中产生大的波长位移,通过检测△λi,就可获得外界物理量变化的信息。LPG在给定波长上的共振带的响应通常有不同的幅度,因而LPG适用于多参数传感器。
    三、    光纤光栅传感器的应用
    1、在地球动力学中的应用
    在地震检测等地球动力学领域中,地表骤变等现象的原理及其危险性的估定和预测是非常复杂的,而火山区的应力和温度变化是目前为止能够揭示火山活动性及其关键活动范围演变的最有效手段心。光纤光栅传感器在这一领域中的应用主要是在岩石变形、垂直震波的检测以及作为地形检波器和光学地震仪使用等方面。活动区的应变通常包含静态和动态两种,静态应变(包括由火山产生的静态变形等)一般都定位于与地质变形源很近的距离;而以震源的震波为代表的动态应变则能够在与震源较远的地球周边环境中检测到。为了得到相当准确的震源或火山源的位置,更好地描述源区的几何形状和演变情况,需要使用密集排列的应力-应变测量仪。光纤光栅传感器是能实现远距离和密集排列复用传感的宽带、高网络化传感器,符合地震检测等的要求,因此它在地球动力学领域中无疑具有较大的潜在用途。有报道指出,光纤光栅传感器已成功检测了频率为0.1-2Hz,大小为10-9ε(应变)的岩石和地表动态应变。
    2、在航天器及船舶中的应用
    先进的复合材料抗疲劳、抗腐蚀性能较好,而且可以减轻船体或航天器的重量,对于快速航运或飞行具有重要意义,因此复合材料越来越多地被用于制造航空航海工具(如飞机的机翼)。
    为全面衡量船体的状况,需要了解其不同部位的变形力矩、剪切压力、甲板所受的抨击力,对于普通船体大约需要100个传感器,因此波长复用能力极强的光纤光栅传感器最适合于船体检测。光纤光栅传感系统可测量船体的弯曲应力,而且可测量海浪对湿甲板的抨击力。具有干涉探测性能的16路光纤光栅复用系统成功实现了在带宽为5kHz范围内、分辨率小于10nε/(Hz)1/2的动态应变测量。
    另外,为了监测一架飞行器的应变、温度、振动、起落驾驶状态、超声波场和加速度情况,通常需要100多个传感器,故传感器的重量要尽量轻,尺寸尽量小,因此最灵巧的光纤光栅传感器是最好的选择。另外,实际上飞机的复合材料中存在两个方向的应变,嵌人材料中的光纤光栅传感器是实现多点多轴向应变和温度测量的理想智能元件。
3、在民用工程结构中的应用
    民用工程的结构监测是光纤光栅传感器最活跃的领域。力学参量的测量对于桥梁、矿井、隧道、大坝、建筑物等的维护和状况监测是非常重要的。通过测量上述结构的应变分布,可以预知结构局部的载荷及状况。光纤光栅传感器可以贴在结构的表面或预先埋入结构中,对结构同时进行冲击检测、形状控制和振动阻尼检测等,以监视结构的缺陷情况。另外,多个光纤光栅传感器可以串接成一个传感网络,对结构进行准分布式检测,可以用计算机对传感信号进行远程控制。
    光纤光栅传感器可以检测的建筑结构之一为桥梁。应用时,一组光纤光栅被粘于桥梁复合筋的表面,或在梁的表面开一个小凹槽,使光栅的裸纤芯部分嵌进凹槽得以保护。如果需要更加完善的保护,则最好是在建造桥时把光栅埋进复合筋,由于需要修正温度效应引起的应变,可使用应力和温度分开的传感臂,并在每一个梁上均安装这两个臂。
    两个具有相同中心波长的光纤光栅代替法布里-珀罗干涉仪的反射镜,形成全光纤法布里-珀罗干涉仪(FFH),利用低相干性使干涉的相位噪声最小化,这一方法实现了高灵敏度的动态应变测量.用FFPI结合另外两个FBG,其中一个光栅用来测应变,另一个被保护起来,免受应力影响,以测量和修正温度效应,所以FFP~FBG实现了同时测量三个量:温度、静态应变、瞬时动态应变。这种方法兼有干涉仪的相干性和光纤布拉格光栅传感器的优点。已在5mε的测量范围内,实现了小于1&micro;ε的静态应变测量精度、0.1℃的温度灵敏度和小于1nε/(Hz)1/2的动态应变灵敏度。
    4、在电力工业中的应用
    光纤光栅传感器因不受电磁场干扰和可实现长距离低损耗传输,从而成为电力工业应用的理想选择。电线的载重量、变压器绕线的温度、大电流等都可利用光纤光栅传感器测量。
    在电力工业中,电流转换器可把电流变化转化为电压变化,电压变化使压电陶瓷(PZT)产生形变,而利用贴于PZT上的光纤光栅的波长漂移,很容易得知其形变,从而得知电流强度。这是一种较为廉价的方法,并且不需要复杂的电隔离。另外,由大雪等对电线施加的过量的压力可能会引发危险事件,因此在线检测电线压力非常重要,特别是对于那些不易检测到的山区电线。光纤光栅传感器可测电线的载重量,其原理为把载重量的变化转化为紧贴电线的金属板所受应力的变化,这一应力变化被粘于金属板上的光纤光栅传感器探测到。这是利用光纤光栅传感器实现远距离恶劣环境下测量的实例,在这种情况下,相邻光栅的间距较大,故不需快速调制和解调。
    5、在医学中的应用
    医学中用的传感器多为电子传感器,它对许多内科手术是不适用的,尤其是在高微波(辐射)频率、超声波场或激光辐射的过高热治疗中,由于电子传感器中的金属导体很容易受电流、电压等电磁场的干扰而引起传感头或肿瘤周围的热效应,这样会导致错误读数。为测定高频辐射或微波场的安全性,需用超声波传感器检测一系列医疗(包括超声手术、过高热治疗、碎结石手术等)中所用的超声诊断仪器的性能。近年来,使用高频电流、微波辐射和激光进行热疗以代替外科手术越来越受到医学界的关注,而且传感器的小尺寸在医学应用中是非常重要的,因为小的尺寸对人体组织的伤害较小,光纤光栅传感器是目前为止能够做到的最小的传感器。光纤光栅传感器能够通过最小限度的侵害方式测量人体组织内部的温度、压力、声波场的精确局部信息。到目前为止,光纤光栅传感系统已经成功地检测了病变组织的温度和超声波场,在30℃-60℃的范围内,获得了分辨率为0.1℃和精确度为±0.2℃的测量结果,超声场的测量分辨率为10-3atm/Hz1/2,这为研究病变组织提供了有用的信息。
    光纤光栅传感器还可用来测量心脏的效率。在这种方法中,医生把嵌有光纤光栅的热稀释导管插入病人心脏的右心房,并注射人一种冷溶液,可测量肺动脉血液的温度,结合脉功率就可知道心脏的血液输出量,这对于心脏监测是非常重要的。
    6、在化学传感中的应用
    光纤光栅传感器可用于化学传感,因为光栅的中心波长随折射率的变化而变化,而光栅间倏失波的相互作用以及环境中的化学物质的浓度变化都会引起折射率的变化。
    长周期光栅(long period fiber grating,LPFG)与布拉格光纤光栅一样,也是由光纤轴向上产生周期性的折射率调制而形成,其周期一般大于100&micro;m。它的耦合机理是:向前传输的纤芯基模被耦合入几个特定波长的向前传输的包层模,包层模很快损失掉,所以LPFG基本上没有后向反射,在其透射谱中有几个特定波长的吸收峰。LPFG对光纤包层材料折射率的变化比上述的光纤布拉格光栅更为敏感,包层材料折射率的任何变化都会改变传输光谱的特性,使吸收峰发生改变,所以长周期光栅折射率测量系统的分辨率可实现10-7的灵敏度。目前已经用长周期光栅测出了许多化学物质的浓度,包括蔗糖、乙醇、己醇、十六烷、CaCl2、NaCl等,原则上,任何具有吸收峰谱并且其折射率在1.3和1.45之间的化学物质都可用长周期光栅进行探测。
    四、结束语
    除上述应用外,光纤光栅传感器还在其他领域得到了应用,并且在许多方面的性能都比传统的机电类传感器更稳定、更可靠、更准确。光纤光栅传感器可以用于应力、应变或温度等物理量的传感测量,具有较高的灵敏度和测量范围。在光纤若干个部位写入不同栅距的光纤光栅,就可以同时测定若干部位相应物理量及其变化,实现准分布式光纤传感。总之,光纤光栅传感器的应用是一个方兴未艾的领域,有着非常广阔的发展前景。
  目前对光纤光栅传感器的研究方向主要有三个方面:一是对传感器本身及能进行横向应变感测和高灵敏度、高分辨率、且能同时感测应变和温度变化的传感器研究;二是对光栅反射信号或透射信号分析和测试系统的研究,目标是开发低成本、小型化、可靠且灵敏的探测技术;三是光纤光栅传感器的实际应用研究,包括封装技术、温度补偿技术、传感器网络技术。
  目前限制光纤光栅传感器应用的最主要障碍是传感信号的解调,正在研究的解调方法很多,但能够实际应用的解调产品并不多,而且价格较高。其次,光纤光栅传感器应用中的其他问题也非常重要,如:1、由于光源带宽有限,而应用中一般要求光栅的反射谱不能重叠,因此可复用光栅的数目受到限制;2、如何实现在复合材料中同时测量多轴向的应变,以再现被测体的多轴向应变形貌;3、如何实现大范围、高精度、快速实时测量;4、如何正确地分辨光栅波长变化是由温度变化引起的还是由应力产生的应变引起的等。有效地解决上述问题对于实现廉价、稳定、高分辨率、大测量范围、多光栅复用的传感系统具有重要意义,这些都有待发展。
时间:  2006-7-7 07:55
作者: qqqq


基于P87LPC764型单片机延时型漏电继电器
     

  
    摘要:介绍以P87LPC764型单片机为核心的延时型漏电继电器设计方案。该方案可供用户选择实现额定动作电流和5倍额定动作电流下不同的延时动作时间,并有重合闸设定选择功能。解决了以往传统分立元件带来的延时时间离散性大且不实现的弊端。
    关键词:延时时间 漏电继电器 单片机 设计
    1 引言
    漏电继电器是一种可在被保护线路漏电电流达到设定值(额定动作电流IΔ)后切断被保护线路供电电源的保护装置。漏电信号的检测由零序电流互感器来完成,它可将检测到的被保护线路的漏电电流转换成毫伏级的交流电压信号,再通过信号整流、放大和滤波得到一个直流电压,然后配合相应的控制电路来驱动执行回路,以实现切断保护线路供电电源的控制目的。简单的实现过程是信号检测→滤波→二级放大→控制电路→驱动执行回路→切断被保护线路电源。
    本文给出的延时型漏电继电器解决方案就是利用P87LPC764型单片机构成控制电路来取代传统的电路,该电路可在不同IΔ条件下实现不同的延时动作时间及其他相关功能。

    2 继电器的功能
    根据漏电保护标准及延时型漏电继电器驱动要求,该保护装可以实现以下功能:
(1)用户可选择3档额定动作电流(分别记为IΔ1、IΔ2、IΔ3),在使用中由用户根据被保护线路的实际状况来决定。一般可以设定IΔ1
(2)每一种额定动作电流下(1倍情况下)均设有3档延时动作时间T1a、T1b、T1c(设定T1a
(3)与第一点对应,在5倍额定动作电流下,这3档电流可分别记为5IΔ1、5IΔ2、5IΔ3,相应的3档延时动作时间为T2a、T2b、T2c,同样设定T2a
(5)根据漏电动作基本要求,当选择第条功能后,装置可以在T3延时时间后自动恢复,但此时若检测的漏电信号仍然超过装置设定的额定动作电流(可称为“二次漏电”),软件中在延时T3后紧接着设定T4(T4
    3 P87LPC764简介
    P87LPC764是Philips公司推出的51内核、改进型单片机,运行速度为标准80C51型机的2倍,丰富灵活的端口定义、内含二个精确模拟量比较器是选用该型号的理由。该单片机的主要功能如下:
·运行速度快;
·程序存储器为4KB OTP(一次编程),32B用户代码区;
·2个16位定时/计数器;
·2个精确模拟量比较器;
·可编程的I/O口输出模式;
·20mA的口线驱动能力。

    4 硬件电路
    4.1 信号检测与放大电路
    图1所示是漏电保护装置中信号检测与放大部分的局部电路。该装置对漏电电流的检测用一个零序电流互感器来完成,以将检测到的被保护线路的漏电电流转换成毫伏级的交流电压信号。再由运算放大器构成的线性电路整流放大、通过对零二级放大,得到一个直流电压U20。显然,通过对零序电流互感器及相应电路参数的设定,可使U20在一段漏电电流的范围内正比于漏电的电流。这样,对漏电电流信号的处理在电路中就转化为对U20的处理。前面提到,用户可以选择、3种额定动作电流,实际上是在电路中通过调节R28、R29、R30将U20整定在3种不同的电压,并用三选一开关S2A(提供给用户 )来实现,每次只有一种(必须有一种)选择;额定动作电流下T1a、T1b、T1c时间的设定及5倍额定动作电流下T2a、T2b、T2c时间的设定均通过三选一开关S3A判断,同样每次只有一种(必须有一种)选择;重合闸选用单选开关S1进行设定,具体电路如图2所示。
    在P87LPC764的16脚外接电阻分压器可以设定参考电位VREF,可以理解用户选择的3种不同额定动作电流所对应的U20是不同的,而参考电位VREF只有一种标准,该标准一旦设定就不能改变,所以S2A的作用就是将U20信号通过开关的引导与电位器的分压来把不同数值的U20调整到合适的大小,进而与设定的参考电位VREF进行比较。

    4.2 P87LPC764的外围电路
    图2是P87LPC764的外围电路部分,其中16脚是VREF电压设定端,按照图中参考可以得出VREF=2V。12脚P1.0用于重合闸判断,用户可以选择装置是否执行重合闸,P1.0为0时装置具有重合闸功能;P1.1、P1.2、P1.3用于额定动作电流下T1a、T1b、T1c时间和5倍额定动作电流下T2a、T2b、T2c的判断和选择。二级放大后的U20,一路直接送至比较器CIN1A的输入端(17脚),另外一路通过电阻分压器送至比较器CIN2A的输入端(19脚),以分别用于输入额定动作电流下的U20和5倍额定动作电流下的U20,P0.0来驱动执行电路中的继电器,初始状态设定为0(低电平,继电器吸合),将其连接于后面的执行电路即可使继电器处于吸合状态,配合交流接触器维持被保护线路的供电。
    5 软件设计
    软件设计主要围绕不同状态下的延时时间的设定来进行,首先判断比较器1和比较器2的输出以确定当前漏电电流的大小,具体可有三种情况:一是小于当前设定的额定动作电流;二是大于当前设定的额定动作电流但小于5倍的额定动作电流;三是大于5倍的额定动作电流,这些可以在软件中通过判断比较器1和比较器2的输出来控制。并可根据P1.0、P1.1、P1.2、P1.3的状态来决定是否实际重合闸及不同的动作时间。其程序流程如图3所示。
    6 结束语
    于要区分多种延时时间,因而采用单片机的实现方案,克服了传统分立元件带来的离散性大且不易控制的弊端。P87LPC764内含电压比较器,可以很方便地控制额定动作电流和5倍额动作电流下的动作时间。软件编写中,延时时间主要以“软件延时和定时器定时”来实现,利用传递不同变量的方式来完成多种延时时间的控制,事实证明,这是软好的实现方案。

时间:  2006-7-7 07:55
作者: qqqq


基于P87LPC764型单片机延时型漏电继电器
     

  
    摘要:介绍以P87LPC764型单片机为核心的延时型漏电继电器设计方案。该方案可供用户选择实现额定动作电流和5倍额定动作电流下不同的延时动作时间,并有重合闸设定选择功能。解决了以往传统分立元件带来的延时时间离散性大且不实现的弊端。
    关键词:延时时间 漏电继电器 单片机 设计
    1 引言
    漏电继电器是一种可在被保护线路漏电电流达到设定值(额定动作电流IΔ)后切断被保护线路供电电源的保护装置。漏电信号的检测由零序电流互感器来完成,它可将检测到的被保护线路的漏电电流转换成毫伏级的交流电压信号,再通过信号整流、放大和滤波得到一个直流电压,然后配合相应的控制电路来驱动执行回路,以实现切断保护线路供电电源的控制目的。简单的实现过程是信号检测→滤波→二级放大→控制电路→驱动执行回路→切断被保护线路电源。
    本文给出的延时型漏电继电器解决方案就是利用P87LPC764型单片机构成控制电路来取代传统的电路,该电路可在不同IΔ条件下实现不同的延时动作时间及其他相关功能。

    2 继电器的功能
    根据漏电保护标准及延时型漏电继电器驱动要求,该保护装可以实现以下功能:
(1)用户可选择3档额定动作电流(分别记为IΔ1、IΔ2、IΔ3),在使用中由用户根据被保护线路的实际状况来决定。一般可以设定IΔ1
(2)每一种额定动作电流下(1倍情况下)均设有3档延时动作时间T1a、T1b、T1c(设定T1a
(3)与第一点对应,在5倍额定动作电流下,这3档电流可分别记为5IΔ1、5IΔ2、5IΔ3,相应的3档延时动作时间为T2a、T2b、T2c,同样设定T2a
(5)根据漏电动作基本要求,当选择第条功能后,装置可以在T3延时时间后自动恢复,但此时若检测的漏电信号仍然超过装置设定的额定动作电流(可称为“二次漏电”),软件中在延时T3后紧接着设定T4(T4
    3 P87LPC764简介
    P87LPC764是Philips公司推出的51内核、改进型单片机,运行速度为标准80C51型机的2倍,丰富灵活的端口定义、内含二个精确模拟量比较器是选用该型号的理由。该单片机的主要功能如下:
·运行速度快;
·程序存储器为4KB OTP(一次编程),32B用户代码区;
·2个16位定时/计数器;
·2个精确模拟量比较器;
·可编程的I/O口输出模式;
·20mA的口线驱动能力。

    4 硬件电路
    4.1 信号检测与放大电路
    图1所示是漏电保护装置中信号检测与放大部分的局部电路。该装置对漏电电流的检测用一个零序电流互感器来完成,以将检测到的被保护线路的漏电电流转换成毫伏级的交流电压信号。再由运算放大器构成的线性电路整流放大、通过对零二级放大,得到一个直流电压U20。显然,通过对零序电流互感器及相应电路参数的设定,可使U20在一段漏电电流的范围内正比于漏电的电流。这样,对漏电电流信号的处理在电路中就转化为对U20的处理。前面提到,用户可以选择、3种额定动作电流,实际上是在电路中通过调节R28、R29、R30将U20整定在3种不同的电压,并用三选一开关S2A(提供给用户 )来实现,每次只有一种(必须有一种)选择;额定动作电流下T1a、T1b、T1c时间的设定及5倍额定动作电流下T2a、T2b、T2c时间的设定均通过三选一开关S3A判断,同样每次只有一种(必须有一种)选择;重合闸选用单选开关S1进行设定,具体电路如图2所示。
    在P87LPC764的16脚外接电阻分压器可以设定参考电位VREF,可以理解用户选择的3种不同额定动作电流所对应的U20是不同的,而参考电位VREF只有一种标准,该标准一旦设定就不能改变,所以S2A的作用就是将U20信号通过开关的引导与电位器的分压来把不同数值的U20调整到合适的大小,进而与设定的参考电位VREF进行比较。

    4.2 P87LPC764的外围电路
    图2是P87LPC764的外围电路部分,其中16脚是VREF电压设定端,按照图中参考可以得出VREF=2V。12脚P1.0用于重合闸判断,用户可以选择装置是否执行重合闸,P1.0为0时装置具有重合闸功能;P1.1、P1.2、P1.3用于额定动作电流下T1a、T1b、T1c时间和5倍额定动作电流下T2a、T2b、T2c的判断和选择。二级放大后的U20,一路直接送至比较器CIN1A的输入端(17脚),另外一路通过电阻分压器送至比较器CIN2A的输入端(19脚),以分别用于输入额定动作电流下的U20和5倍额定动作电流下的U20,P0.0来驱动执行电路中的继电器,初始状态设定为0(低电平,继电器吸合),将其连接于后面的执行电路即可使继电器处于吸合状态,配合交流接触器维持被保护线路的供电。
    5 软件设计
    软件设计主要围绕不同状态下的延时时间的设定来进行,首先判断比较器1和比较器2的输出以确定当前漏电电流的大小,具体可有三种情况:一是小于当前设定的额定动作电流;二是大于当前设定的额定动作电流但小于5倍的额定动作电流;三是大于5倍的额定动作电流,这些可以在软件中通过判断比较器1和比较器2的输出来控制。并可根据P1.0、P1.1、P1.2、P1.3的状态来决定是否实际重合闸及不同的动作时间。其程序流程如图3所示。
    6 结束语
    于要区分多种延时时间,因而采用单片机的实现方案,克服了传统分立元件带来的离散性大且不易控制的弊端。P87LPC764内含电压比较器,可以很方便地控制额定动作电流和5倍额动作电流下的动作时间。软件编写中,延时时间主要以“软件延时和定时器定时”来实现,利用传递不同变量的方式来完成多种延时时间的控制,事实证明,这是软好的实现方案。

时间:  2006-7-7 07:56
作者: qqqq


基于LXT971A的嵌入式系统的网络通讯设计
  
    摘要:介绍LXT971A型网络通讯接口电路的内部结构和引脚功能,给出在嵌入式系统中采用LXT971A与MPC860型网络通讯处理器进行网络通讯的硬件接口实现方法,同时介绍基于嵌入式系统的服务器端的软件编程。
    关键词:嵌入式系统 网络通讯 接口电路 处理器 服务器
    1 概述
    在嵌入式系统中,网络通讯是非常重要而且必备的功能。为了充分发挥嵌入式系统中CPU的网络控制功能,选择一款合适 的网络接口电路至关重要,LXT971A网络通讯接口电路就是一个很好的选择。LXT971A是Intel公司的网络通讯接口电路,它符合IEEE标准,直接支持10Mb/s/100Mb/s双绞线应用,也支持100Mb/s光纤接口;该电路提供的MII接口能很好的符合10/100Mb/s双绞线应用,也支持100Mb/s光纤接口;该电路提供的MII接口能很好的符合10/100MACs。
    图1所示是LXT971A的内部功能结构框图。

    2 LXT971A的引脚功能
    LXT971A具有64个引脚,其引脚排列如图2所示。各引脚的功能如下:
·TXD0-TXD3是并行数据发送端口;
·TX_EN是发送使能端;
·TX_CLK是发送时钟,速率为10Mb/s时为2.5MHz,速率为100Mb/s时为25MHz;
·TX_ER是错误数据发送端;
·RXD0-RXD3是并行数据接收端;
·RX_CLK是接收时钟,速率为10Mb/s时为2.5MHz,速率为100Mb/s时为25MHz.
·RX_DV是接收数据正常指示端;
·COL是冲突检测端;
·CRS是载波检测端;
·MDDIS、MOC、MDIO、MDINT是MII控制信号端口;
·TPFOP、TPFON是网络接口输出正、负端口;
·TPFIP、TPFIN是网络接口输入正、负端口;
·SD/TP是TP选择;
·TxSLEW0、TxSLEW1是TP驱动端;
·RESET是复位端;
·ADDR0-ADDR4是设备地址端口;
·RBIAS是偏置端;
·PAUSE是暂停端;
·SLEEP是休眠端;
·TEST0、TEST1是测试端,接地;
·REFCLK/XI、REFCLK/XO是晶振输入、输出端;
·TDI、TDO、TMS、TCK、TRST是JTAG测试端;
·LED/CFG1、LED/CFG2、LED/CFG3是LED驱动或配置输入端。
    3 硬件接口
    在实际应用系统中,要实现LXT971A的网络接口功能还要借助于MPC860型网络通信处理器。LXT971网络接口电路与MPC860网络通信处理器是一种非常好的组合,以它们为核心弄好的网络产品有很好的实用性。笔者设计的硬盘录像机和远程电量采集器均是以MPC860为核心CPU,以LXT971为接口电路来实现的,实际应用中均取得了比较好的应用效果。

    MPC860是Motorola公司推出的网络通信处理器,其特点主要有:内嵌PowerPC内核;4kbyte指令缓冲和4kbyte数据缓冲;强有力的内存控制和系统功能;高效的结构,可用一个独立的RISC处理器处理通讯单元;可支持以太网、快速以太网、HDLC、ATM等;带有8kB双端口RAM;可提供50MHz、66MHz、80MHz频率,采用357引脚BGA封装;具有强大的第三方工具支持等。
    SPC860与LXT971的接口采用标准的MII接口,SD/TP引脚接地,传输介质可采用双绞线。MPC860可通过MII接口初始化LXT971A,而不需要使用LXT971A中的JTAG口。它们的连接原理如图3所示。
    4 软件设计
    在笔者所开发的嵌入式系统中,MPC860所配置的操作系统为Nucleus PLUS实时嵌入式系统。该系统对网络的出色支持能够使MPC860与LXT971实现无缝连接,而软件设计中,只需简单调用操作系统中的MII_AutoNeg 0函数就可以完成初始化LXT971A的工作,进而快速地进行下一步的网络应用编程。

    该系统可支持TCP、UDP、RAW_IP3种接口编程方式。下面给出的是采用TCP方式的服务程序。
   
Void TCP_Server_Task(UNSIGNED argc,VOID *argv) //TCP服务器端任务
{
INT socketd,newsock; //套接字标识
Struct addr_struct *servaddr; //服务器地址结构指针
unsigned int i;
VOID *pointer;
STATUS status;
Struct addr_struct client_addr;
NU_DEVICE devices[1];
CHAR serv_in_addrp[]={192.168.1.1};//服务器(本机)IP地址
CHAR subnet[]={255,255,255,0};//子码掩摸
if(NU_Init_Net(&Noncached_Memory=NUU_SUCC ESS) //初始化网络模块
{
DEMO_Exit(0); //不成功退出
}
memcpy(devices[0].dv_ip_addr,
serv_ip_addr,4);
//服务器IP地址拷贝到地址结构中
memcpy(devices[0].dv_subnet_mask,subnet,4);
//服务器子码掩摸拷贝到地址结构中
memcpy(devices[0].dv_subnet_mask,subnet,4);
//服务器子码掩拷贝到地址结构中
if(UN_Init_Devices(devices,1)!=NU_SUCCESS)
//初始化网络设备LXT971A
{
DEMO_Exit(0); //不成功退出
}
if((socketd=NU_Socket(NU_FAMILY_IP,NU_TYPE_STREAM,0))>=0)
//创建套接字
{
if((NU_Bind(socketd,servaddr,0))>=0)
//绑定服务器IP地址
{
status=NU_Listen(socketd,10);//侦听
if(status==NU_SUCCESS)
{
for=(i=0;i
{
newsock=NU_Accept(socketd,&client_addr,0);//接地
if(newsock>=0)
{status=NU_Send_T0_Queue&socketQueue,(UNSIGNED*)&newsock,1,NU_SU SPEND}; //接收成功队列发送
}
}
}
}
}
}
    编程方式与Windows的网络编程相似。
    5 结束语
    该设计应用广泛,凡是对网络通讯要求比较高的产品均可采用该设计。如用作监控系统核心产品的硬盘录像机DVR、10万伏变电站上用的高端远程电理采集器、电信网中的路由器等。

时间:  2006-7-7 07:56
作者: qqqq


用CP2101将USB口扩展成串口


  
    摘要:CP2101及其升级产品CP2102最美国Silicon公司生产的高集成度USB-UART桥接电路。它们能够用最简单的外部电路、最少的外部器件及最小的电路板面积简便实现USB2.0到UART的转换。文中介绍该电路的主要特性和实际应用方法。
    关键词:CP2101 UART USB
    引言
    CP2101及其升级产品CP2102是美国Silicon公司推出的USB-UART桥接电路。该电路的集成度高,内置USB2.0全速功能控制器、USB收发器、晶体振荡器、EEPROM及异步串行数据总线(UART),支持调制解调器全功能信号,无需任何外部的USB器件。功能强大,采用MLP-28封装,尺寸仅为5mm×5mm,占用空间非常小。
    与其他USB-UART转接电路的工作原理类似,CP2101通过驱动程序将PC的USB口虚拟成COM口以达到扩展的目的。虚拟COM口(VCP)的器件驱动程序允许一个基于CP2101的器件以PC应用软件的形式作为一个增加的COM口独立于任何现有的硬件。COM口使用运行在PC上的应用软件以访问一个标准硬件COM口的方式访问基于CP2101的器件,PC与CP2101间的数据传输是通过USB完成的,因此,无需修改现有的软件和硬件就可以通过USB向基于CP2101的器件传输数据。
    1 CP2101的特性
    CP2101的体积虽小但功能非常强大,其主要特性如下:
·内含USB收发器,无需外接电路器;
·内含时钟电路,无需外接振荡器;
·其内部512字节的EEPROM可用于存储产品生产商的ID、产品的ID序列号、电源参数、器件版本号和产品说明;
·内含上电复位电路;
·片内电压调节可输出3.3V电压;
·符合USB2.0规范的要求(12Mb/s);
·SUSPEND引脚支持USB状态挂起;
·异步串行数据总线(UART)兼容所有握手和调制解调器接口信号;
支持的数据格式为数据位8、停止位1、2和校验位(包括奇校验、偶校验和无校验);
·波特率范围为300b/s~921.6kb/s;
·内含512字节接收缓冲器和512字节发送缓冲器;
·支持硬件或X-On/X-Off握手;
·支持事件状态。


    此外,通过厂商及销售商免费提供的、适用于Windows(含Windows CE)、Linux、Mac OS-X等多个操作系统的驱动程序,可省去CP2101系列器件二次开发的投入。这样,在一般情况下,焊接完毕并安装好驱动程序即可使用。
    2 工作原理
    CP2101的内部结构及外部基本连接电路如图1所示。由图1可见,用CP2101进行串口扩展所需的外部器件非常少,仅需3只去耦电容器即可,使用起来非常方便。
    2.1 USB功能控制器和收发器
    CP2101中的USB功能控制器是一个符合USB2.0的全速电路,带有收发器和相应的片内上拉电阻器。USB功能控制器管理USB与UART间的所有数据传输,以及由USB主控制器发出的请求命令和用于控制UART功能的命令。通过USB挂起和恢复信号可支持CP2101及外部电路的电源管理。当在总线上检测到挂起信号时,CP2101将进入挂起模式。在进入挂起模式时,CP2101会发出SUSPEND和SUSPEND信号,同时,在CP2101复位后,CP2101也会发出该信号直到USB要求的器件配置完成。CP2101的挂起模式会在下述任何一种情况出现时被取消:
(1)检测到继续信号或产生继续信号时;
(2)检测到一个USB复位信号;
(3)器件复位。
    在退出挂起模式时SUSPEND和SUSPEND信号被取消。
    需要注意的是SUSPEND和SUSPEND和CP2101复位期间会暂时处于高电平,如果要避免这种情况,可以使用一个大的下拉电阻器(10kΩ)来确保SUSPEND在复位期间处于低电平。
    2.3 异步串行数据总线(UART)接口
    CP2101的UART接口包括TX发送、RX接收数据信号,以及RTS、CTS、DSR、DTR、DCD和RI控制信号。UART支持RTS/CTS、DSR/DTR和X-On/X-Off握手,还可以通过编程使UART支持各种数据格式和波特率。UART的数据格式和波特率编程可在PC上进行。表1所列是CP2101串行总线的数据格式和波特率。
表1 CP2101串行总线的数据格式和波特率
数据位 8
停止位 1
校验位 无校验、奇校验、偶校验
波特率 300,600,1200,1800,2400,4800,7200,9600,14400,19200,28800,38400,56000,57600,115200,128000,230400,460800,921600
    这里还需注意的是,CP2101异步串行数据总线的数据位和停止位是固定的,也就是说,在实际使用中可以通过软件改变校验位和波特率,但是,改变数据位和停止位会在通讯中出现异常现象。
    2.3 内部EEPROM
    CP2101内部集成了一个EEPROM,可用于存储由设备原始制造商定义的USB供应商的ID、产品的ID说明、电源参数、器件版本号和器件序列号等信号。USB配置数据的定义是可选的。如果EEPROM没有被OEM的数据占用,则采用默认方式配置数据。注意,尽管如此,对于可能使用多个基于CP2101的器件连接到同一个PC的OEM应用来说,它们需要一个专一的序列号。
    内部EEPROM可通过USB进行编程,以便OEM的USB配置数据和序列号可以在制造和测试时直接写入到系统上的CP2101中。Silicon公司提供一种专门为CP2101内部EEPROM进行编程的工具,同时还提供一个Windows DLL格式的程序库。该程序库可在制造过程中将EEPROM编程步骤集成到OEM中,以便用自定义软件进行流水线式测试和序列号的管理。EEPROM的写寿命典型值为100,000次,数据保持时间为100年。
    3 应用实例
    CP2101的实际应用参考电路如图2所示。该电路经过制板和调试,证明是完全可行的。

(1)以上电路支持全功能串行通信应用。为了确保数据收发的稳定性,避免通信过程中的干扰,还可以在USB接口端添加滤波电路。
(2)电路中采用的多通道RS-232收发器是Maxim公司的MAX241系列或MAX223等系列电路(详情请参看MAXIM公司RS-232收发器数据手册),该系列支持在挂起状态(当CP2101进入挂起状态时,SUSPEND引脚变为高电平,从而使RS-232收发器亦进入挂起状态),保持接收外界数据有效。在 在使用电路时,需要注意EN引脚及SHDN引脚的正确连接。
在很多简单的串行通信中,仅使用TXD/RXD二个引脚的信号,在这种情况下可以使用通道数更少,封超更小的RS-232收发器。
(3)CP2101的底部有大片金属,在芯片内部接地。因此在制板时一定要保证没有非接地通孔在CP2101的中间通过,或者保证通孔不与其发生短路。为了保证电路工作的可靠性,建议不要在CP2101焊接面板层的中间部分进行布线。
(4)CP2101的UART总线上的信号能够被单片机等直接识别,因此应根据具体应用要求决定是否需要进行电平转换。
    4 结束语
    CP2101是一款功能强大的USB-UART桥接电路,5mm×5mm的外型使其占用空间非常小,用它将USB口扩展成串口非常简单易行。同时由于其内部存储产品的ID等信息的寄存器可以直接通过USB口改写,因此用其开发相关产品还有省时省力的优点。唯一遗憾的是,由于UART本身的限制,其最大波行率只能达到921600b/s,不能发挥USB2.0并没有多大的意义。目前,CP2101的升级产品CP2102已经面市。
时间:  2006-7-7 07:56
作者: qqqq


用CP2101将USB口扩展成串口


  
    摘要:CP2101及其升级产品CP2102最美国Silicon公司生产的高集成度USB-UART桥接电路。它们能够用最简单的外部电路、最少的外部器件及最小的电路板面积简便实现USB2.0到UART的转换。文中介绍该电路的主要特性和实际应用方法。
    关键词:CP2101 UART USB
    引言
    CP2101及其升级产品CP2102是美国Silicon公司推出的USB-UART桥接电路。该电路的集成度高,内置USB2.0全速功能控制器、USB收发器、晶体振荡器、EEPROM及异步串行数据总线(UART),支持调制解调器全功能信号,无需任何外部的USB器件。功能强大,采用MLP-28封装,尺寸仅为5mm×5mm,占用空间非常小。
    与其他USB-UART转接电路的工作原理类似,CP2101通过驱动程序将PC的USB口虚拟成COM口以达到扩展的目的。虚拟COM口(VCP)的器件驱动程序允许一个基于CP2101的器件以PC应用软件的形式作为一个增加的COM口独立于任何现有的硬件。COM口使用运行在PC上的应用软件以访问一个标准硬件COM口的方式访问基于CP2101的器件,PC与CP2101间的数据传输是通过USB完成的,因此,无需修改现有的软件和硬件就可以通过USB向基于CP2101的器件传输数据。
    1 CP2101的特性
    CP2101的体积虽小但功能非常强大,其主要特性如下:
·内含USB收发器,无需外接电路器;
·内含时钟电路,无需外接振荡器;
·其内部512字节的EEPROM可用于存储产品生产商的ID、产品的ID序列号、电源参数、器件版本号和产品说明;
·内含上电复位电路;
·片内电压调节可输出3.3V电压;
·符合USB2.0规范的要求(12Mb/s);
·SUSPEND引脚支持USB状态挂起;
·异步串行数据总线(UART)兼容所有握手和调制解调器接口信号;
支持的数据格式为数据位8、停止位1、2和校验位(包括奇校验、偶校验和无校验);
·波特率范围为300b/s~921.6kb/s;
·内含512字节接收缓冲器和512字节发送缓冲器;
·支持硬件或X-On/X-Off握手;
·支持事件状态。


    此外,通过厂商及销售商免费提供的、适用于Windows(含Windows CE)、Linux、Mac OS-X等多个操作系统的驱动程序,可省去CP2101系列器件二次开发的投入。这样,在一般情况下,焊接完毕并安装好驱动程序即可使用。
    2 工作原理
    CP2101的内部结构及外部基本连接电路如图1所示。由图1可见,用CP2101进行串口扩展所需的外部器件非常少,仅需3只去耦电容器即可,使用起来非常方便。
    2.1 USB功能控制器和收发器
    CP2101中的USB功能控制器是一个符合USB2.0的全速电路,带有收发器和相应的片内上拉电阻器。USB功能控制器管理USB与UART间的所有数据传输,以及由USB主控制器发出的请求命令和用于控制UART功能的命令。通过USB挂起和恢复信号可支持CP2101及外部电路的电源管理。当在总线上检测到挂起信号时,CP2101将进入挂起模式。在进入挂起模式时,CP2101会发出SUSPEND和SUSPEND信号,同时,在CP2101复位后,CP2101也会发出该信号直到USB要求的器件配置完成。CP2101的挂起模式会在下述任何一种情况出现时被取消:
(1)检测到继续信号或产生继续信号时;
(2)检测到一个USB复位信号;
(3)器件复位。
    在退出挂起模式时SUSPEND和SUSPEND信号被取消。
    需要注意的是SUSPEND和SUSPEND和CP2101复位期间会暂时处于高电平,如果要避免这种情况,可以使用一个大的下拉电阻器(10kΩ)来确保SUSPEND在复位期间处于低电平。
    2.3 异步串行数据总线(UART)接口
    CP2101的UART接口包括TX发送、RX接收数据信号,以及RTS、CTS、DSR、DTR、DCD和RI控制信号。UART支持RTS/CTS、DSR/DTR和X-On/X-Off握手,还可以通过编程使UART支持各种数据格式和波特率。UART的数据格式和波特率编程可在PC上进行。表1所列是CP2101串行总线的数据格式和波特率。
表1 CP2101串行总线的数据格式和波特率
数据位 8
停止位 1
校验位 无校验、奇校验、偶校验
波特率 300,600,1200,1800,2400,4800,7200,9600,14400,19200,28800,38400,56000,57600,115200,128000,230400,460800,921600
    这里还需注意的是,CP2101异步串行数据总线的数据位和停止位是固定的,也就是说,在实际使用中可以通过软件改变校验位和波特率,但是,改变数据位和停止位会在通讯中出现异常现象。
    2.3 内部EEPROM
    CP2101内部集成了一个EEPROM,可用于存储由设备原始制造商定义的USB供应商的ID、产品的ID说明、电源参数、器件版本号和器件序列号等信号。USB配置数据的定义是可选的。如果EEPROM没有被OEM的数据占用,则采用默认方式配置数据。注意,尽管如此,对于可能使用多个基于CP2101的器件连接到同一个PC的OEM应用来说,它们需要一个专一的序列号。
    内部EEPROM可通过USB进行编程,以便OEM的USB配置数据和序列号可以在制造和测试时直接写入到系统上的CP2101中。Silicon公司提供一种专门为CP2101内部EEPROM进行编程的工具,同时还提供一个Windows DLL格式的程序库。该程序库可在制造过程中将EEPROM编程步骤集成到OEM中,以便用自定义软件进行流水线式测试和序列号的管理。EEPROM的写寿命典型值为100,000次,数据保持时间为100年。
    3 应用实例
    CP2101的实际应用参考电路如图2所示。该电路经过制板和调试,证明是完全可行的。

(1)以上电路支持全功能串行通信应用。为了确保数据收发的稳定性,避免通信过程中的干扰,还可以在USB接口端添加滤波电路。
(2)电路中采用的多通道RS-232收发器是Maxim公司的MAX241系列或MAX223等系列电路(详情请参看MAXIM公司RS-232收发器数据手册),该系列支持在挂起状态(当CP2101进入挂起状态时,SUSPEND引脚变为高电平,从而使RS-232收发器亦进入挂起状态),保持接收外界数据有效。在 在使用电路时,需要注意EN引脚及SHDN引脚的正确连接。
在很多简单的串行通信中,仅使用TXD/RXD二个引脚的信号,在这种情况下可以使用通道数更少,封超更小的RS-232收发器。
(3)CP2101的底部有大片金属,在芯片内部接地。因此在制板时一定要保证没有非接地通孔在CP2101的中间通过,或者保证通孔不与其发生短路。为了保证电路工作的可靠性,建议不要在CP2101焊接面板层的中间部分进行布线。
(4)CP2101的UART总线上的信号能够被单片机等直接识别,因此应根据具体应用要求决定是否需要进行电平转换。
    4 结束语
    CP2101是一款功能强大的USB-UART桥接电路,5mm×5mm的外型使其占用空间非常小,用它将USB口扩展成串口非常简单易行。同时由于其内部存储产品的ID等信息的寄存器可以直接通过USB口改写,因此用其开发相关产品还有省时省力的优点。唯一遗憾的是,由于UART本身的限制,其最大波行率只能达到921600b/s,不能发挥USB2.0并没有多大的意义。目前,CP2101的升级产品CP2102已经面市。
时间:  2006-7-7 07:56
作者: qqqq


数字电位器在DC-DC变换器中的应用
   

  
    摘要:在升压和降压DC-DC变换器中,可以用数字电位器的工作达到对输出电压进行校准和调节的目的。
    关键词:数字电位器 DC-DC变换器 电压应用
    1 引言
    数字电位器(DCP)是数控电阻大小的器件,数控的接口方式有直接按键方式、三线接口方式(选片线、方向线、脉冲线)、SPI接口方式和I2C接口方式。通常用于校准系统精度和控制系统参数的大小。
    2 脉宽调制模式
    早上20世纪60年代,电源的开关调节首先应用在军用电源的设计中。它的优势在于重量轻和效率高,可以控制均衡电量的加载,就是控制均衡电压的供给,通过高速动作的开关量的开和关来实现。如图1所示,加载到电阻器上的平均电压Vo(avg)=(ton/T)×Vi,这种控制方法就称为脉宽调制模式。本文概述在二种类型DC-DC变换器中数字电位器的应用,包括如何调节反馈电阻来获得输出电压。

    3 降压型DC-DC变换器
    图2所示为降压型DC-DC变换器的典型电路,当控制器IC感应到输出电压Vo太低时,启动通道上的晶体管Q给电感器L充电,同时也对电容器C充电,当输出电压V0上升到一个预定值时,控制器关闭通道上的晶体管Q,电感器L和电容器C上获取的能量通过肖特基二极管构成的回路自由释放,从电感器L到电容器C进行有效的能量传输会消耗一部分能量,因此加载在负载上的电压有所下降。
    以TI公司的TPS62000型电路为例,如图3所示,它是低噪声同步降压型DC-DC变换器,内部采用电流模式PWM控制器,工作频率典型值为750kHz。在关闭模式下,电流损耗可降低到1μA,非常适合于1节锂离子电池、2节到3节镍铬、镍氢电池或碱性电池。2节电池供电时,输出最大电流为200mA;3节电池供电时,输出最大电流为600mA。

    TPS62000DGS的输出电压可调,通过调整反馈引脚FB的电压值来达到输出电压V0的变化,采用数字电位器来调节反馈引脚FB的电压。在图3中,H为数字电位器可调电阻器的高电压端,L为数字电位器可调电阻器的低电压端,W为数字电位器滑动电压输出端。输出电压的计算公式为V0=0.45V×(1+RH/RL),其中要求RH+RL≤1MΩ,每次调接的电阻值为1kΩ。3线接口可设计成单片机控制或按键直接控制(外扩逻辑电路),在减小数字电位器RL的阻值时,输出电压V0会增加。由于该器件是DC-DC降压器件,因此输出电压V0最大值为输入电压VI。
     当数字电位器调节到RH=82kΩ,RL=18kΩ时,输出电压;
    Vo=0.45×(1+82kΩ/18kΩ)=2.5V;
    当数字电位器调节到RH=85kΩ,RL=15kΩ时,输出电压
    Vo=0.45V×(1+85kΩ×15/kΩ)=3.0V。
    4 升压型DC-DC变换器
    图4所示为升压型DC-DC变换器的典型电路,通过控制器IC延长启动晶体管的时间,以增加电感器的峰值电流来达到升压目的。传输作用的计算公式为
    Vo=VIN[T/(T-ton)]
    式中,T为晶体管启动和关闭的周期;t(on)是晶体管启动的周期。

    以TI公司的TPS61030型电路为例,如图5所示,该电路是效率高达96%的同步升压变换器。非常适合于1节锂离子或锂聚合物电池、2节到3节碱性电池、镍铬或镍氢电池,变换产生固定输出电压或可调输出电压,输出电压的调节通过数字电位器调节反馈引脚FB的电压获得。在输入电压最低为1.8V时,输出电压为5V,输出电流最大为1A。升压基于一个固定频率PWM控制器同步开关整流获取高效率。具有关闭电源和过热保护功能。在图5中,H为数字电位器可调电阻器的高电压端,L为数字电位器可调电阻器的低电压端,W为数字电位器滑动电压输出端。反馈引脚FB的电压典型值为500mA,最大允许输出电压为5.5V。输出电压的计算公式为Vo=0.5V×(1+RH/RL)。另外,该电路内含电压基准0.5V的电池电压比较器,输入引脚LBI的电压通过电池电压分压获得,分压电阻器R1和R2也可以采用数字电位器调节。
    以Xicor公司的3线接口(CS、U/D、INC)、100抽头X9C104S型电路为例,它的电位器阻值为100kΩ,每次调节的电阻值为1kΩ。3线接口可设计成单片机控制或按键直接控制(外扩逻辑电路),在减小数字电位器RL的阻值时,输出电压V0会增加。由于该电路是DC-DC升压型器件,因此输出电压V0最小为输入电压VI。

    5 小结
    数字电位器的内部结构如图6所示,它用控制MSO管的开关来调节滑动端VW在VH和VL之间多个等值电阻的位置,抽头数越多,等值电阻越多。调整的范围越宽,对DC-DC变换器的输出电压调整幅度越小。

    数字电位器的优点是调整的精确度高于机械电位器,缺点是线性度有机械电位器好。另外,机械电位器有触点,滑动端长期滑动出现接触问题。而数字电位器没有触点,因而使用寿命和可靠性优于机械电位器。
时间:  2006-7-7 07:57
作者: qqqq


TMC428型3轴步进电机控制器的原理及应用

  
    摘要:TMC428是TRINAMIC公司最新开发的步进电机运动控制器,它可减少电机控制软件设计的工作量,降低开发成本。以它为核心(包括TMC236型步进电机驱动器)构成的3轴步进电机驱动控制系统具有尺寸小、控制简单的优点,可同时控制3个两相步进电机。
    关键词:步进电机 控制器 TMC428
    1 主要性能特点
    TMC428是小尺寸、高性价比的二相步进电机控制芯片。它带有二个独立的SPI口,可分别与微处理器和带有SPI接口的步进电机驱动器相连以构成完整的系统。其控制指令可由微处理器通过SPI接口给定。TMC428提供了所有与数字运动控制有关的功能,包括位置控制、速度控制及微步控制等步进电机常用的控制功能。这些功能如果让微处理器来完成,则需占用大量的系统资源,所以它的使用可将微处理器解放出来,以把资源用在接口的扩展和对步进电机的更高层次的控制上。此外,TMC236也是TRINAMIC公司开发的带有串行接口的步进电机驱动器。3个TMC236连结构成的菊花链(Daisychain)结构便是一种基于串行通讯的网络结构,可以使多个具有串行通信接口的设备以接力的方式传递数据。TMC428可以通过SPI接口与它们相连接,以同时控制3个二相步进电机。

    TMC428的主要特点如下:
·根据不同的应用提供有SSOP16、SOP24、DIL20三种封装可选形式。
·可以同时对3个二相步进电机进行控制,所有电机可独立工作。
·根据微处理器给定的电机运动参数(位置,速度、加速度),依照梯形或三角形的速度由线产生驱动脉冲波形和顺序,来对电机进行位置和速度控制。它有4种工作模式。其中位置控制有RAMP模式和SOFT模式,速度控制有VELOCITY模式和HOLD模式。
·可微步控制。采用6位分辨率的微步细分。包括满步、半步直至64细分。每个电机可分别选择其需要的微步分辨率。满步频率最高达20kHz。
·通过可编程电流比例捉控制,可以使电机在不同的工作状态下采用大小不同的工作电流。控制电机工作可在8个档次上,分别是最大电流的12.5%、25%、37.5%、50%、62.5%、75%、87.5%、100%。
·可以对多种参数进行设置,包括最大加速度、最大速度、加速运行和位置保持时电机线圈的电流大小、微步细分分辨率、波形发生器和脉冲发生器的参数等20个多个参数。
·可在线改变运动参数(位置、速度、加速度)。
·带有4线串行SPI接口,串行通信使用32bit数据长度的简单协议。使用简单。
·可通过另一个SPI口与电机驱动器连接,其数据传输率高达1Mbit/s。
·低功耗(1.25mA,4MHz),时钟输入范围宽且时钟频率最高可达16MHz。
·3.3V或5V的CMOS/TTL兼容电平供电。
    2 引脚功能

    图1所示为TMC428采用SSOP16封装时的引脚排列,各个引脚的功能如下:
1,2,3脚(REF1,2,3):参考开关输入1,2,3,可以外接限位开关,以引发TMC428内部中断功能。本文没有使用该功能。
4脚(TEST):测试脚。使用时接地,接地应尽可能在引脚附近。
5脚(CLK):时钟输入。
6脚(nSCS_C):SPI控制接口的片选信号输入,低电平有效。
7脚(SCK_C):SPI控制接口的时钟输入。
8脚(SDI_C):SPI控制接口的数据输入。
9脚(SDO_C):SPI控制接口的数据输出,高阻。
10脚(SDO_S):驱动SPI接口的数据输出。
11脚(SCK_S):驱动SPI接口的时钟输出。
12脚(nSCS_S):驱动SPI接口的片选信号输出。
13脚(V5):+5V电源。
14脚(V33):+3.3V电源,应外接470nF电容器。
15脚(GND):地。
16脚(SDI_S):驱动SPI接口数据输入,应接上拉或下拉电阻器
    3 内部结构和工作原理
    TMC428的内部结构如图2所示。TMC428是由各个单元的寄存器和片内RAM构成的。其内部包括二个外部串行接口、波形发生器和脉冲发生器、微步单元、多口RAM控制器和中断控制器。
    TMC428一般从微处理器获得控制指令,微处理器则通过发送和接收固定长度的数据包对TMC428寄存器和RAM进行读写操作。TMC428的寄存器和片内RAM的功能有所不同。寄存器用于存储电机总体配置参数和运动参数,而片内RAM用于存储 驱动串行接口的配置和微步表。电机总体参数是指对驱动器菊花链中TMC236的配置。运动参数包括各电机的当前位置、目标位置、最大速度、最大加速度、电流比例、波形发生器和脉冲发生器参数以及微步细分分辨率等。片内RAM包括64个地址的数据空间,每个地址可存储24位宽的数据,前32位地址数据是对驱动器菊花链串行通信数据包的配置,后32位地址的数据为微步细分表。
    初始化以后,TMC428即可自动发送数据包到菊花链的每个TMC236,也就是说,驱动串行接口经过初始化后便可以自动工作,而不需要微处理器的参与。只要把位置、速度写进指定的寄存器就可以控制电机。TMC428的多口RAM控制器可管理数据的存取时序。这样,微处理器就可以在任何时间读写寄存器和片内RAM的数据。
    通过波形发生器可以处理存储在寄存器里的运动参数并计算电机运动速度曲线。脉冲发生器则根据波形发生器计算得到的速度来产生步进脉冲。步进脉冲产生时TMC428的驱动串行接口将自动发送数据包给步进电机驱动器菊花链以驱动步进电机。当采用微步控制时,微步单元即开始处理根据脉冲发生器产生的步进脉冲,同时根据选择的微步分辨率来产生全步、半步和微步脉冲,并通过驱动串口送给驱动器菊花链。

    驱动串行接口是TMC428与驱动器菊花链之间的通信接口。从TMC428到驱动器之间的串行数据包的长度是可配置的,以适应由不同类型和厂家的电路构成的SPI环形结构,最大数据长度为64bit。初始化后,TMC428与步进电机驱动器之间的通信是自动完成的。不同类型的带有SPI接口的驱动器都可以混合构成菊花链结构与TMC428进行连接。
    4 应用
    4.1 兼容性
    TMC428与大多数厂商生产的步进电机驱动电路兼容。它可以直接连接带有SPI口的步进电机驱动器,也可以通过附加的器件连接常用的并口驱动器。甚至带有步进、方向输入的步进电机驱动器也可以由TMC428来控制。将步进电机驱动电路TMC236非常简单地连接成串行菊花链结构,用TMC428构成3轴步进电机控制系统进行控制可更好地发挥TMC428的特点。
    4.2 状态检测
    实时监测电机运行状态对整个系统的安全和控制是很重要的,TMC428就提供有状态检测功能。每次每处理器发送数据包给TMC428的同时,TMC428会返回数据给微处理器。大部分带有串行口的电机驱动电路都提供有不同的状态位(工作,不工作等)和错误标志(短路,开路,温度过高等)。这样,TMC428就可以在任何时候提供当前电机的运动参数和工作模式以及各状态位。从电机驱动菊花链返回给TMC428的数据包有48bit长。TMC428将其放在二个24bit的寄存器中。这样,微处理器就可以直接读取这些寄存器里的信息。
图3
    5 系统构成的应用
    笔者采用DSP作为系统的微处理器,结合TMC428和TMC236构成步进电机驱动控制系统。TMC236内部集成了HVCMOSFET构成的双全桥驱动电路,它采用恒流斩波驱动方式来驱动双极性二相步进电机,并具有功耗低、效率高的特点。图3所示就是3个TMC236构成3轴电机驱动器并由TMC428进行控制的原理电路图。
    由图3可见,采用专用步进电机运动控制器和驱动电路组成的系统具有外围电路简单、系统抗干扰能力强和可靠性高等优点,可减少控制电路的开发成本。整个系统除了电源之外只有5个IC,因此,体积小,控制简单,特别适用于3轴步时电机的驱动。实验证明该驱动器控制的步时电机定位精度高,加、减速性能良好,同时,启停、反转性能也很优良。

时间:  2006-7-7 12:45
作者: qqqq


μP控制的Si9731型电池充电器的原理应用
 

  
    摘要:Si9731是一种可对1节锂离子电池或1~3节镍镉/镍氢电池进行脉冲充电的电池充电器IC。其电池充电可在系统处理器的直接控制下完成。文中介绍了Si9731的主要特点、内部结构、引脚功能和工作原理,并给出Si9731的设计要点。
    关键词:集成电路;μP控制;充电器
1 Si9731的主要特点
Si9731型电池充电器是一种单片IC,可在系统处理器控制下对3节NiCd/NiMH电池或1节锂离子电池进行脉冲充电。该IC内部的低导通电阻(RSD(CON))的MOSFET可在系统处理器施加的脉冲信号下,通过变化的占空比实现导通和关断,因而在大电流脉冲充电时具有非常小的热耗散。Si9731同时还提供涓流充电模式,可在电池被充到足够高的电压时唤醒处理器以进行充电处理和控制。Si9731内含精密电压参考和误差放大器,因此,也能为锂离子电池提供恒压(CV)充电。
    Si9731的主要特点如下:
●可对单节锂离子电池或1-3节NiCd/NiMH电池进行脉冲充电;
●集成有MOSFET,可在截止模式实现双向反向电流阻塞(blocking);
●具有PWM控制快速充电模式和低电流涓流充电模式;
●通过引脚可选4.1V或4.2V的锂离子电池充电终止限制;
●具有过热、过压和外部关断模式,在关断状态,电池与外部电源完全隔离;
●充电器的输入、输出ESD保护可4kV;
●采用16引脚TSSOP封装,工作温度范围为-40℃~85℃;
Si9731的主要应用领域是蜂窝电话电池充电器和个人数字助理(PDA)中的电源充电等。
2 内部结构和引脚功能

Si9731的引脚排列如图1所示,图2为其内部结构框图及部分外部元件连接方式。其引脚功能如表1所列。
表1 Si9731引脚功能
引  脚 名    称 功      能

1 CHARGERPRESENTIN CHARGERPRESENT(开路漏极)输出的(充电器出现)逻辑输入
2 CHARGERPOWER-ONIN CHARGERPOWER-ONIN(开路漏极)输出的(充电器加电)逻辑输入
3 VCHARGER 3~12V的外部充电器(输入)电压
  0N/OFF 主机关闭脚。该脚为低电平,充电器关闭,静态电流低于是μA
5 TRICKLECHARGEEN 选择该脚为高电平,涓流充电失能
6 CVMODE 当MAINCHARGEEN脚为高电平时,一个逻辑高电平能使误差放大器线性驱动MOSFET(Q1)
7 MAINCHAREEEN 在该脚上的一个外部PWM信号控制快速充电MOSFET(Q1)的开/关占空因数
8,10 N/C 该脚不连接外部电路
9 VERF 内部1.3V的精密带隙参考电压,该脚不施加负载
11 GND 低阻抗系统地
12 4.1V-TAP 连接该脚至VBAT+,在恒压模式4.1V充电终止
13 TRICKLE-VBAT 连接在该脚与至VBAT+之间的电阻限制涓流充电电流
14 VBAT+ 充电器输出,连接电池正端
15 CHARGERPOWER-ON (Q4)开路漏极输出
16 CHARGERPRESENT (Q3)开路漏极输出
3 Si9731的充电过程
3.1 涓流充电
充电通路可由Si9731内部的Q1和Q2N沟道MOSFET组成。当电池电压太低、主处理器不能驱动Si9731的7脚和5脚时,Q1截止,以防止快速充电。此时,由于Q2导通,电路可以获得从外部电源VCHARGRE(3脚)到电池的涓流充电通路。涓流充电电流主要由外部电流限制电阻器Rext设定.
公式如下:
ITRICKLE≈(VCHARGER-VBAT+)/Rext
当电池电压充至3.4V的最低电池工作电压时,内部锁存器被触发,15脚的输出将唤醒处理器并使5脚为高电平,其后电路将终止涓流充电并进入快速充电阶段。
3.2 快速充电
用微处理器通过Si9731脚7的控制输入来对电池进行脉冲充电,并通过低导通电阻的Q1(MOSFET)来完成快速充电。处理器通过系统A/D转换器来监视电池电压,并通过改变脉冲充电占空比来维护快速充电。由于脉冲充电具有较短的导通时间和较长的截止时间,因而可承受足够大的充电电流。
当对NiCd或NiMH电池充电时,处理器通过感测VBAT+输出上的△V或dc/dt,或通过监视电池温度变化(△T)来结束快速充电模式。
锂离子电池的充电终止电压为4.1V或4.2V,当4.1V_TAP脚悬空时,可选择4.2V的充电终止电压。而将4.1V_TAP与VBAT+脚连接在一起时,VBAT+的终止电压是4.1V。当电池电压达到4.1V或4.2V的充电终止电压时,Si9731的CVMODE脚保持高电平,充电器进行恒压充电模式。在该充电模式下,电路认可的充电(OTC)信号输出为高电平,与反馈电阻器(RFB1、RFB2和RFB3)串联的Q5导通(接地),为内部误差放大器(E/A)提供反馈电压,并与同相输入端上1.3V的参考电压相比较。E/A产生的输出驱动Q1,使VBAT+保持在充电压上。

    3.3 认充电OTC(OK th charge)信号与控制逻辑
Si9731含有使涓流充电模式和快速充电模式工作的默认充电(OTC)信号。为了能对电池充电,OTC信号必须保持在逻辑高电平上。为此,应当满足以下:
(1)电池电压低于5V;
(2)充电器输入电压VCHARGER高于2.6V但低于12.8V;
(3)当Si9731 7脚(MAINCHARGEEN)为低电平时,电压VCHARGER>VBAT+40mV。如果7脚为高电平,则VCHARGER>VBAT-40mV;
(4)ON/OFF脚为逻辑高电平。
利用一个加法器可驱动充电回路晶体管Q1,其驱动信号是一个数字信号与误差放大顺输出信号的组合,它们之间的关系如表2所列。
表2 Q1驱动信号之间关系
Q1驱动数字信号 误差放大器输出 Q1驱动电平
L L L(完全截止)
L 居间 居间(线性模式)
H L H(完全导通)
H H ×
3.4 保护
(1)过压检测
当输入到Si9731脚3上的电压VCHARGER大于12.8V时,内部过压检测器将关断Q1、Q2和Q5,以终止充电。
(2)热关闭
Si9731内置热保护电路,一旦芯片结温超过130℃,电路将终止充电。而当结温降至120℃以下时,充电恢复。
(3)通过外部关断
在Si9731的ON/OFF脚施加一个不超过0.4V的电压时,电路进入关断模式,此时电路仅消耗不到0.1μA的静态电流。若在ON/OFF脚施加1.5~12V的电压,电路将被使能。为使Si9731总是保持使能状态,可将ON/OFF脚连接到VCHARGER脚。当Si9731使能时,电池电压检测电路从VBAT消耗的电流约为25μA。
4 结束语
Si9731是一种由μP控制的电池充电器,该电路可对单节锂离子电池或1~3节NiCd或MiMH电池进行脉冲充电。Si9731的VCAHRGER脚的输入电压范围为4.5~12V,可由墙上的电源适配器提供。Si9731具有快速充电和涓流充电两种工作模式,并具有过压和过热保护功能。
时间:  2006-7-7 12:45
作者: qqqq


SCAN90CP02型LVDS交叉点开关的功能及应用
    

  
    摘要:SCAN90CP02是美国国家半导体公司最新推出的LVDS超高速交叉点开关,具有的预增强功能使其可应用于高损耗的背板及电缆连接中以增强驱动能力。文中详细介绍该电路的功能、结构和配置方式,同时给出它的应用方法。
    关键词:LVDS;SCAN90CP02;预增强;交叉点开关
引言
低压差分信号传输LVDS(Low Voltage Differential Signaling)是高速、低电压、低功率、低噪声通用I/O接口标准。这种信号传输使用非常小的信号幅度(典型值为350mV),通过一对平行的PCB走线或平衡电缆传输数据。这对平行差分信号线的电流及电压振幅相反,噪声同时耦合到2条信号线上。由于采用差分输入方式,输入信号只与2个信号的差值有关,因而可将共模干扰抑制掉。另外,由于2条差分信号线距离很近,电流传输方向相反,其磁场相互抵消,电场相互耦合,因而与单线传输相比,电磁辐射小得多。

LVDS已广泛应用于接口器件和现场可编程门阵列(FPGA)、专用集成电路(ASIC)中,采用LVDS的系统成功实现了高速互连。然后,并非所有的LVDS I/O接口都有良好的性能。例如,一些ASIC或FPGA的LVDS I/O可能不象标准器件中的LVDS I/O那样适合在PCB板上驱动差分迹线(trace)。由于PCB板布局存在的问题,甚至导致设计良好的标准器件的信号传输质量变差。当用ASIC或FPGA等作为系统核心件时,有时不可能使器件尽可能靠近连接器放置,这样会造成迹线变线、反射增大、损耗增加。为了消除互连中存在的问题,国家半导体公司推出一系列体积小巧的缓冲器。文中将对其LVDS超高速交叉点开关SCAN90CP02的功能及应用进行介绍。
1 SCAN90CP02简介
SCAN90CP02型电路是美国国家半导体公司推出的1.5Gb/s的2x2低压差分信号传输模拟交叉点开关(crosspoint switch)。其高速数据通路和直通(flow-through)引脚可使电路内部的抖动达到最小。当信号在有损的背板和电缆上传输时,其可配置的预增强功能(0/25/50/100%可选)能克服外部ISI(Inter Symbol Interference,符号间干扰)抖动的影响。其差分输入可连接到LVDS和Bus LVDS信号上,也可与共模逻辑(CML)和低电压正射极耦合逻辑(LVPCL)等信号电平相连。SCAN90CP02要用非块式交叉点结构,可配置为1:2时钟或数据分配器、2:1冗余复用器、交叉功能及用于信号增强和短线隐藏的双缓冲器。图1是SCAN90CP02的内部方框图。

SCAN90CP02集成的IEEE 1149.1(JTAG)和1149.6测试输入电路TAP(Test Access Port)支持单端LVTTL/CMOS和差分LVDS PCB互连的可测试性。这些功能有助于缩短测试时间,降低测试与开发方面的成本。电路采用3.3V电源、CMOS工艺和LVDS I/O,确保其在整个工业级温度范围(-40℃到+85℃)内实现高性能和低功耗。

SCAN90CP02可以真正地消除抖动,从而提高系统的可靠性,使用户能用成本较低的线路实现互连。由于SCAN90CP02设有预增强功能,因此不但可以执行正常的开关功能,而且可作为缓冲器使用,以便将现有的FPGA、ASIC及串行/解串器(SerDes)等的LVDS信号放大。另外,该电路的LVDS输出不支持多站式(multidrop)BLVDS环境。
SCAN90CP02的特点如下:
●每通道的传输速率达1.5Gb/s。
●低功耗,在双中继器模式下,最高速率时的电流仅为70mA。
●低输出抖动。
●可配置的预增强功能(0/25/50/100%)可驱动有损耗的背板和电缆。
●具有直通(Flow-through)引脚引出线。
●LVDS/BLVDS/CML/LVPECL输入,LVDS输出。
●适用IEEE 1149.1和1149.6标准。
●单电源3.3V供电。
●可对输入和输出进行单独控制以降低功耗。
●工业级温度范围(-40℃至±85℃)。
SCAN90CP02采用28引脚LLP封装或32引脚LQFP封装。
电路的预增强功能用于补偿远距离传输或者有损耗的传输媒质。为使功耗最小,电路为每个输出提供了独立的引脚。而且预增功能是可编程设备的。
2 SCAN90CP02的应用
在笔者的设计项目中,需要用高达600Mb/s的速率来传送数据,由发射机和接收机完成数据收发、调制及信道匹配等任务。为检验整个通信系统数据传输质量的好坏,笔者另外设计了一台高速误码率测试仪。该测试仪由3块电路板组成,分别是时钟产生板、发板和收板。在时钟产生板和发板上各用了一块SCAN90CP02,一是可使电路板上的输出信号尽可能靠近连接器,减轻板上核心电路的布线压力,使其位置放置更加自由;二是完成电平转换任务,将LVPECL电平转换成LVDS电平;三是补偿走线的损耗,保证信号有较好的传输质量。该误码仪的框图如图2所示,图中重点突出了SCAN90CP02的连接方式。以下详细介绍该测试仪中的各种电路板。
    (1)钟板。时钟板的SCAN90CP02配置成1:2分配器方式,EN0、EN1、SEL0和SEL1都置为低电平。时钟板产生的300MHz时钟信号为LVPECL电平,经SCAN90CP02转换成2路LVDS电平,分别送往误码仪的发板和收板。
(2)发板。发板以Xilinx公司的VirtexII系列XC2V250型电路为核心,由一些外围电路和控制电路组成,完成伪码产生、数据成帧、并串变换等功能。输出的600Mb/s数据和300MHz时钟经SCAN90CP02中断通过连接器送到发射机。SCAN90CP02配置成双通道中断器方式,SEL1置高电平,其他控制端置电平。
(3)收板。收板以Xilinx公司的VirtexII系列XC2V250型电路为核心,由一些外围电路和控制电路组成。实现帧同步、数据恢复、串并变换、比较计数和误码统计显示等功能。
SCAN90CP02的预增强控制端采用拨码开关来选择高、低电平,以增加设计的灵活性。
3 结束语
在许多应用中特别是用高损耗的背板及电缆连接时,一些电路(如ASIC和FPGA等)的驱动能力往往不足,这就需要使用带有预增强功能的电路(如SCAN90CP02)预先将信号放大,这样不但可以保证接收器获得足够的输入信号电压,而且还可增大传输距离,改善信号质量。特别是采用LVDS传输方式时,其抗干扰能力大大增强,同时也降低了电磁辐射。

时间:  2006-7-7 12:46
作者: qqqq


FPGA控制CLC5958型A/D转换器高速PCI采集
 
  
    摘要:详细介绍CLC5958的内部结构和基本用法,提出一种基于FPGA和PCI总线的高速数据采集卡设计方案,并通过仿真验证了该方案的可行性。该采集卡的采集速度快,精度高,结构简单,扩展方便,抗干扰能力强,适宜和于高速智能仪器和其他数据采集场合。
    关键词:CLC5958;现场可编程门阵列;数据采集卡;PCI总线
引言
随着信息技术的发展,基于微处理器的数字信号处理在测控、通讯、雷达等各个领域得到广泛的应用。被处理的模拟信号也在向高频、宽带方面发展,但这需要高速、高分辨率的数字采集卡以将模拟信号数字化。美国国家半导体公司新推出的系列高速、高分辨率模/数转换器(如CLC5958)就非常适用于需要高速、高分辨率的信号采集系统。
    用于PC的采集系统以前大多有用ISA总线结构,这种结构的最大缺点是传输速率低,无法实现高速数据的实时传输。而PCI总线则以其卓越的性能受到了广泛的应用。32位PCI总线的最大传输数据速率可达132MB/s,64位PCI总线的最大传输速率可达528MB/s。实际上,采用高性能的总线已经成为高速采集技术发展的趋势。

利用FPGA(现场可编程门阵列)来连接高速A/D转换器和PC的PCI接口,可以充分利用可编程器件高速、灵活、易于升级、抗干扰性能的优点,并且可以大大缩短开发时间[1]。
1 CLC5958型A/D转换器
本数据采集系统中的A/D转换器采用美国国家半导体公司的CLC5958,该电路具有14位分辨率和52Mb/s的转换速度,而且动态输入频带宽,转换噪声低,非常适合于宽带、高频信号的采集。CLC5958集高保真采样保持器和14位多通道转换器于一体,其信号和时钟均采用差动输入方式,且内部集成有参考电压,可支持CMOS和TTL双重输出标准。采用0.8μmBiCMOS制作工艺。CLC5958的内部结构如图1所示。


    CLC5958的基本特性如下:
●具有极宽的动态输入范围;
●奈奎斯特滤波器特性卓越;
●取样保持能力强;
●采用48引脚CSP封装;
●CMOS、TTL输出可选;
●取样速度可达52Ms/s,SFDR可达90dB,SNR可达70dB。
CLC5958可应用于GSM、WCDMA、DAMPS、精确天线系统等通讯领域。其工作时序如图2所示。但在具体应用时,应注意以下问题。

    (1)由于AIN和AIN模拟量差分输入端可通过片内500Ω输入电阻器接入,且内置3.25V标准参考电压。为了减小非线性输入的偏置电流,其输入耦合网络应尽可能接近电路。
(2)ENCODE和ENCODE为时钟差分输入端,其参考电源为VCC,时钟输入可以为PECL电平,也可以为其他波形(如直流为1.2V峰值在VCC以下的正弦波)。输入时钟的噪声超低,转换时的SNR性能越高。但由于时钟输入采用非自偏置输入,所以每个输入信号必须指定“地”电平。
(3)该电路的噪声主要来自采样保持器的非线性特性和转换器,因此,通过变压器的磁耦合来传递输入信号可以有效减少低频噪声。输入时钟在电路内部被分频产生内部控制信号,但在分频过程中可能产生1/4倍和1/8倍的时钟噪声,这些噪声一般不大于-90dBFS。
(4)CLC5958的内部电源由V cc供给,但是输出信号电源由DVcc供给(3.3V到5V均可),使用时,每一个电源引脚都必须接入相应的电平,且最好并接0.01μF的去耦电容器。
(5)该电路在高速采样时性能最好,如果采样速率过低,内部采样保持电路将会产生较大误差。
    根据以上注意事项,给出CLC5958在采样系统中的电路,如图3所示。
2 FPGA的内部设计
由于CLC5958的转换速度高且控制操作简单,因此一般单片机因速度太低而很难控制该电路。如果采用高速DSP来控制,显然,对DSP超强的运算能力来说又是一种浪费。
现在市面上销售的各种PCI接口控制电路,如果AMCC公司的S5933及PLX的9080系列等,虽然可以实现完整的PCI主、从设备模式的接口功能,将复杂的PCI总线接口转化为相对简单的用户接口,但系统结构受接口电路的限制,不能灵活地设计目标系统,且成本较高。本文所设计的数据采集卡则不需要完整的PCI接口功能。

在高速数据采集方面,FPGA具有单片机和DSP无法比拟的优势,FPGA的时钟频率高,内部时延小,全部控制逻辑均可由硬件完成;而且速度快,效率高,组成形式灵活,并集成有外围控制、译码和接口电路。根据本数据采集系统的要求,FPGA分为以下几个模块:A/D控制模块:产生A/D时钟和控制信号用于控制CLC5958,读取A/D转换产生的数据并存储。双口RAM:作为缓存,一边存储A/D转换产生的数据,一边通过PCI向PC传输数据。双口RAM控制模块:产生存储和取数的读写信号和地址信号,控制双口RAM的正常工作。PCI接口控制模块:从双口RAM中读取数据,经过符合PCI协议的变换后,传送给PC。FPGA的内部结构如图4所示。
(1)A/D转换器控制模块
该模块首先从PCI总线控制模块接收采样速度控制字,然后根据控制字对FPGA时钟进行分频以得到用于CLC5958的时钟。同时可在A/D转换器中断输入线的每一个上升沿给双口RAM一个写入信号,并读取A/D转换器输出的数据。此外,还用于给双口RAM控制模块一个控制信号以使其输出的双口RAM地址控制字加1。
    (2)双口RAM
当写入控制信号到达时,根据当前写入地址控制字向相应单元写入数据输入总线上的内容,并在读出控制信号到达时,根据读出地址控制字从相应单元读出内容,送到数据输出总线。

(3)双RAM控制模块
当启动写入地址控制信号到达时,把当前的写入地址加1,加满之后清零并重新开始,同时,当启动读出地址控制信号到达时,对当前读出地址加1,加满之后清零并重新开始。
(4)PCI接口控制模块
PCI总线接口控制模块中的信号按照功能可以分为系统信号、地址和数据信号、接口控制信号等。系统信号包括CLK和RST两个信号,为系统提供时钟和复位。对地址和数据信号来说,在总线传输操作周期中,一个PCI总线周期由一个地址段和紧随其后的一个或多个数据段组成,其中AD[30:0]是地址和数据复用总线,它可为PCI接口电路提供地址和数据信号。复用引脚C/BE[3:0]为PCI接口电路提供总线命令和这节允许两组信号。
 接口控制信号主要由FRAME、IRDY、TRDY和DEVSEL等组成。其中FRAME信叫是总线周期构成信号,由当前总线中主要设备驱动,用以表明一个总线风吹草动期的开始和延续;IRDY表明启动方准备好数据;TRDY是目标设备就绪信号,在写操作中,TRDY有效说明从设备已准备好接收数据,在读操作中,它说明AD[30:0]上已有有效数据;DEVSEL为设备选择信号,当其有效时,说明驱动它的主设备已将其地址译码作为当前操作的目标设备,该信号作为输入信号时,DEVSEL用来表示总线上已有目标设备被选中。

    其他PCI总线所需但本系统不用的信号则可用高阻态来代替。图5示出PCI接口控制模块的内部结构。
PCI总线上的基本传输机制是突发分组传输。一个突发分组由一个地址周期和一个(或多个)数据周期组成。PCI支持存储空间和I/O的突发传输,所有的数据传输基本上都是由FRAME、IRDY和TRDY三条信号线控制的。
当数据有效时,数据资源需要无条件设置IRDY信号(写操作为IRDY,读操作为TRDY)。接收方可在适当时间发出它的xRDY信号。FRAME信号有效后的第一个时钟上升沿是地址周期的开始,此时传送地址信息和总线命令。下一个时钟上升沿即是一个(或多个)数据周期的开始,每当IRDY和TRDY同时有效时,所对应的时钟上升沿,数据可以在主、从设备之间传送。在此期间,可由主设备或从设备分别利用IRDY和TRDY的无效而插入等待周期。PCI总线的读写时序如图6所示。
本设计采用Verilog语言来进行编程,在MAXpluse II仿真平台上进行仿真,采用的电中是Altera公司的EPM7160SQC160-6。PCI接口控制部分的仿真结果如图7所示。

3 结束语
本文提出一种采用可编程逻辑器件和A/D转换器组成的高速数据采集卡的设计方案,该采集卡只用两块主体电路,因而结构简单,可以直接插入PC,适用于智能仪器和其他需要高速数据采集的场合。如果在该采集卡前置处理部分增加通道转换和可控放大部分,则该采集卡的功能将更加完美。
时间:  2006-7-7 12:46
作者: qqqq


远程显示控制系统在地面雷达中的实现
 
  
    摘要:远程显控系统作为一种新型的监视控制技术已经广泛应用于电信、交通、金融、智能小区等领域,其设计方案及实现方法多种多样。与远程监控系统相比,本文提供的解决方案更适合地面雷达无人值守要求。在雷达整机设计初期,将本地监控与远程显控从软、硬件方面加以融合设计。该解决方案具有系统结构灵活、传输方式多样的特点,适用于多种型号的地面雷达,并为民用领域的远程控制系统提供了一种新的设计思路。
    关键词:远程显控系统;融合设计;图像传输;雷达
1 引言
随着自动控制技术、计算机技术、通信技术、工业COTS技术的快速发展,电信、交通、金融、智能小区等领域根据自身的需求,针对各自特定的环境和设备进行远程监控已成为一种趋势。与国际上在环境与设备集中监控系统领域的研究和开发相比,国内在设备维修及环境集中监控系统的开发和应用方面尚处于起步阶段。而由于这一领域的多学科性质,使得由单一专业的机构进行的系统设计方案和实施往往存在各种不合理因素:一方面造成诸如只有设备监控而无现场环境图像鉴控,或系统传输方式单一、组网不灵活而造成系统兼容性差;另一方面,在硬件设备上因一味追求降低成本,使用价格低廉、性能单一的非主流器件(如单片机)作为核心单元的控制器,缺少必要通信组网功能,导致系统可可靠性没有保障,无法满足标准化和模块化的要求。

远程监控领域的核心是控制系统,目前国内控制系统的设计方案和实施主机分为二种方式。
●以单片机为核心的远程监控系统。
单片机系统受硬件设备限制,无法实现模块化。就发展的角度而言,没有生命力,且完成的功能单一,无法传送图像信号,只适用于一些不重要的场合,不能构成多媒体环境下的高集成系统。
●以PLC(可编程逻辑控制器)为代表的以工业控制器为核心的远程显控系统。
作为成熟的COTS产品,工业控制器在欧美等工业发达国家具有悠久的历史,在汽车、冶金、化工、通信等行业得到广泛应用并取得显著效益,PLC专为在工业环境下应用而设计。采用一类可编程的存储器,用于其内部存储程序、执行逻辑运算、顺序控制、定时、计数与算述操作等面向用户的指令,并通过数字或模拟输入、输出控制各种类型的机械或生产过程。
需要指出的是,目前民用领域的远程显控系统因其设计和工程实施滞后于所需监测设备,并且二者不是由同一个开发商完成,即远程显控系统从根本上不参与所监控设备自身的运行,因此二者不存在统一设计的可能。单独建立一套远程显控系统需增加大量的设备及由此涉及的工作量,另一方面测控软件的开发也是单独设计运行,与所监测设备软件的衔接同样存在二次开发问题。

    考虑到上述因素,针对国内新型地面雷达自身具有较完善的本地监控系统这一特点,综合本地监控系统和远程显控系统的共同特性及系统结构的全理性、系统功能的完善性和实用性以及与被控设备的接口能力等因素,本文提供了一种适用于地面雷达标准的远程显控系统。
本系统的目的旨在提高地面雷达设备的自动化程度,特别是在一些工作条件和气候恶劣的高山地区或海岛和边防雷达站,通过远距离显控系统,正常的雷达值勤可以在条件相对宽松的中心指挥室完成,从而实现雷达本地无人或少人值守。此外,指挥中心可以监控雷达网内的多部雷达,做到对整个空情的实时监控。因此,雷达的远距离显控系统对于实战中提高防空网的工作效率和自我防护能力具有重大意义。
2 设计原则
一次设计可实施标准的扩展:为保护远程显控系统符合地面雷达本地监控系统的标准,在工程实施方案阶段对二者进行融合设计,即一方面合理设计硬件结构,对于雷达整各分系统的BITE(机内测试装置)、本控接口、遥控接口、所需监控系统及设备接口进行统一规划和配置,完成一次性设计,但在设计中要做到设计分散、控制设备模块化;另一方面对地软件资源也要进行统一性设计,从设计初期妈着眼于遥控和本控状态下的软件兼容性问题,这样,不但可以保证雷达监控系统软件的可靠性,而且增加了软件系统本身的延续性和可移植性。

只有将本控和遥控系统底层软件及相应硬件设备统一设计,从根本上解决其兼容性,才有利于进一步开展高可靠性的远距离显控系统设计工作。这是本方案区别于其他远程监控系统的根本所在。
3 系统组成
总体来讲,本系统由雷达本地设备、远端指挥中心和传输信道三部分组成,其组成框图如图1所示。
3.1 雷达本地设备
包括雷达自身的监控系统、终端系统、配电箱及远程唤醒器、各种传感器与摄像设备(包括摄像机、云台及其控制器)。其中,监控系统和终端系统的PC/104计算机模块和能与PC/104兼容的计算机主板是整个远程显控系统的核心。监控系统的主工功能是显示雷达的工作状态、参数监视、故障信息显示等,控制各监控模块。另外,还可以根据需要完成对整机故障及工作状态的记录、查询、统计管理及网络接口的扩展,提供实时的维修帮助信息等。终端系统的主要功能是完成本站雷达的信息获取,产生点迹、目录的录取和跟踪等。这两个系统均具有将综合后的情报送本地显示及图传远程通讯功能。所有功能的实现建立在工程实施方案的软硬件融合设计。
为了满足无人值守的基本要求,远程显控系统应完成远程唤醒和远程对各分系统的复位功能,考虑到控制信号在远距离传输中较易受到干扰和雷达正常运行对电源的严格要求,因此,设计中的控制信号采用宽脉冲触发式(脉宽在500ms以上)。此外,在触发后“保持接通”即功能锁定是必不可少的,功能锁定可以保证远端唤醒控制器的可靠运行(不受远端控制设备故障的影响)。
    为此,在远端唤醒控制器中采用西门子公司生活的230RC型控制器作为核心控制器件,230RC的功能相当于一个小型PLC,其内部集成有逻辑门、触发器、继电器和时钟等19个功能块,面板上具有编程输入及显示功能,应用于小型控制系统时,实用性比PLC更好。
对于环境参数和电源设备的监测则由温/湿度、烟雾、红外、电压、电流等高性能传感器经PC/104标准数/模的I/O模块采集后送CPU处理。
雷达车厢内的设备运行图像信号有两种传输方式:一种是通过光缆直接传输;另一种是采用专用的图像传输设备转化后送图传或电话线传输。目前,这两种方式均有较多的COTS产品提供选择。
3.2 远端指挥中心
包括一台标准配置的PII工控机,通过远程遥控软件呆移植雷达本地监控系统的工作,即将雷达整机管理远程化,实现雷达工作状态参量和故障信息的采集、故障隔离、记录、显示和告警。具有开机检测、实时和循环检测等多种工作状态的切换功能,完成与监控系统的信息交换,提供友好的人机界面。
带有图传终端的地面雷达的基本功能是对目标的识别和录取等操作,图传终端由光栅显示器、操纵台、回波及显示卡组成,在构成和功能上与雷达本地的分显完全一致,使雷达目标显示录取远程化。
3.3 图像传输设备
雷达图像传输设备是地面雷达情报传递的专用设备,具有对雷达终端显示器的画面和目标参数、数据和话音的双向传输功能,图传设备的结构框图如图2所示。
图传发端分机直接与雷达接口,将雷达要传输的信号经频分、时分后混合成基带信号,送往传输设备。图传收端分机对基带信号进行解调,分别送往图传终端显示器和遥控主机以完成数据传输并再现雷达信号。图传分机具有双工功能,发端和收端相兼容。
根据图传发端和图传收端之间的距离,有三种信道选择。距离在100m以内时选择电缆信道(视频电缆);距离在2km以内时选择光缆信道;距离50km以内时选用微波信道;距离超过50km时在微波终端之间需增加中断微波站。
4 系统功能
远程显控系统的主要功能与雷达监控系统相同,并啬了空调器监控、加热器监控、发射机总电源监控、天线驱动总电源监控、1号车总电源监控、询问机监控、温/湿度监控和图像监控等功能。
4.1 对雷达各分系统的控制
系统控制模块是把控制命令集合中的一系列控制命令根据需要,通过人机界面中的多级菜单将相应的控制命令发布给任务管理模块,再由任务管理模块调用通讯模块具体执行雷达远程遥控工作,主要包括:天线驱动控制、极化控制、发射机控制、接收系统控制、综合分机控制、温度控制和摄像机控制等。
4.2 显示功能
显示模块包括状态和故障实时显示模块,其主要作用是实时地将监控系统检测到的信息和受控后雷达各分系统状态通过监控模块采集的信息,经传输信道将通信数据进行处理后在人机界面中显示。
把对雷达的各项控制命令通过人机界面下达给任务管理模块,由任务管理模块通过通讯模块将相应回馈信号在人机界面中显示。
将故障检测模块所提供的各种信息码用图形故障检测界面显示出来,绿色表示正常,红色表示故意。
4.3 目标显示录取功能
显示经计算机处理后的二次信息、完成各种人工干预。
光栅显示器主要由高分辨率多扫描彩色图形显示器、回波处理板、图形板、操纵台(含键盘、跟踪球)等组成。来自图传雷达回波的二次信息进入显示器的图形处理板,经过转换、处理后,在图形板中进先例中成,最终送到监视器显示。
4.4 远程唤醒和复位功能
由于远程对雷达整机上电前的监控系统处在待唤醒状态,因此无法通过监控系统与遥控系统的双向数据通道来实现远程对雷达电子方仓的上电及下电功能,只有将着眼点放在本笛一直处于带电状态的图传收/发端地个分机上,解决问题的方法是在图传收端分机上设置一个上电和下电开关,经数据复合后送至图传发端,经过光耦隔离接至远程唤醒器,将弱电信号与其所要控制的后级强电隔离。同时将上电宽脉冲经隔离、驱动后送到监控系统、终端系统和信号处理系统等,利用PLC在触发后状态锁定功能,对雷达本地系统进行远程复位操作。
4.5 图像监控
通过光缆、图传或现在有民用通信线路对雷达本地车厢内设备运行状态、环境状态及天线状态等进行实时图像监测,其实现方式主要有二种:一种通过光缆直接传输视频信号,中心设立单独的监视器;另一种通过专用图像压缩传输设备对视频信号处理后送标准串口,经长线传输在指挥中心的计算机显示器上进行图像监视。
5 系统控制软件
控制系统是本地系统的核心,系统由多个微处理器组构成一个完备的闭环控制网络并由CPU控制,通过遥控软件完成分析并确定雷达各种状态,执行相应指令,由若干软件模块实现各种控制,在本系统中,远程控制传输模块的软件采用Visual Basic模块化编程,具有灵活的移植性和可扩展性。
软件模块的相互关系如图3所示。
系统控制模块的功能是状态设置、自检、系统控制、通讯、显示器。
故障检测模块的功能是信息采集、故障隔离、告警、维护指南。
通讯模块的功能是与监控模块的信息交换,与系统外部的通讯。
人机界面模块的功能是功能选择和显示。
故障信息与推理规则库是根据使用手册、专家经验经知识工程而产生的库文件。
雷达操纵员通过人机界面对雷达系统进行干预。系统控制模块启动通讯模块,采集由各监控模块上报的现场数据。在采集同时完成信息甄别。利用现场数据库、故障信息库和推理规则库完成故障隔离,一旦发现故障或临界状态,即发出提示或告警信号。
6 小结
为了缩短研发周期,降低开发成本,本设计方案引进了工业自动化技术和成果,在系统方案设计初期采用了隔合设计和系统集成技术,注重对商品化PC/104嵌入式计算机及外围各功能模块、可编程控制器(PLC)及外围各功能模块的软硬件应用,并对远程显控系统的可靠性、电磁兼容性及与雷达本地系统的兼容性进行了一定研究,在此基础上实现了地面雷达无人值守方案的工程实施。

时间:  2006-7-7 12:46
作者: qqqq


TMS320F2812型数字信号处理器 PC串行通信
 
    摘要:讨论TMS320F2812与PC之间的串行通信方案,给出TI公司的TMS320F2812型DSP和Maxim公司的MAX3160型收发器的硬件接口电路,以及在此基础上使用中断和查询方法实现的串行通信软件流程,同时给出DSP SCI的初始化、发送和接收数据程序代码。
    关键词:串行通信;数据信号处理;收发器;PC
引言
目前,微控制器系统在国民经济和人民生活中得到了广泛的运用,而数据传输业仍是一个很重要的领域。对于这些与计算机相距不远的人-机交换设备和串行存储的外部设备(如终端、打印机、逻辑分析仪、磁盘等)来说,采用串行通讯方式交换数据已非常普通[1]。
在以往DSP与PC之间的串行通信中,由于运行速度的差异和上下位机之间编程软件的限制,系统的实时性一般较差。本文针对这些问题,从硬件和软件两个方面探讨了TMS320F2812与PC的通信方案,介绍该方案的串行通信接口电路和采用中断与查询方法实现数据通信的软件流程。

1 串行通信的硬件接口电路
TMS320F2812的SCI和以往的DSP的SCI相比具有两个特点:一是传送、接收都具有独立的FIFO;二是波特率可以自动检测。自动波特率检测逻辑主要解决通信过程中终端波特率的确定问题。而其16字的FIFO可大大减少通信中断次数,提高通信速率。TMS320F2812的SCI有4个外部引脚:SCIRX、DA、SCITXDA、SCIRXDB、SCITXDB,不作通讯时,这些引脚可以用作通用I/O。SCI有一个16位的波特率选择寄存器,通过改变寄存器的值可以得到多于65000种的波特率。
本设计选用Maxim公司生产的MAX3160型收发器,它是一种可编程的多协议收发器,能支持RS-232/RS-485/RS-422等传送方式。
MAX3160的引脚RS-485/RS-232用于选择工作在RS-422/RS-485还是RS-232接口标准;引脚HDPLX用于选择是RS-422还是RS-485;引脚FAST用于控制信号转换率;引脚DE485用于控制RS-422/RS-485方式时发送器是否使能,以及RS-485和RS-232方式时的RTS信号复用。图1中的电路使用的MAX3160的RS-232方式,所以要将11脚RS-485/RS-232与此12脚HDPLC置为低电平。

本设计将这些控制引脚集成在一个寄存器UART_SCI中,并将这个寄存器映射在TMS320F 2812电路Zone1上的0X4010存储单元中,该寄存器各位的定义如图2所示。TMS320F2812可通过该寄存器对MAX3160进行操作。
2 串行通信软件包实现
设计TMS320F2812串行通信软件可采用查询和中断两种方式。本设计中,发送数据采用的是查询方式,接收数据采用的是中断方式。

    2.1 SCI的初台化
TMS320F2812的2个SCI总共包括26个寄存器,其中控制寄存器有SCICCR、SCIPRI、SCICTL1和SCICTL2;设备波特率的寄存器有SCIHBAUD和SCILBAUD;状态寄存器有SCIRXST;缓冲寄存器有SCIRXEMU、SCIRXBUF和SCITXBUF;另外还有TMS320F2812的SCI独有的FIFO寄存器SCIFFTX、SCIFFRX和SCIFFCT。在程序的开始应先对其中一些寄存器进行初始化。
SCI的初始化程序如下:
#include "DSP28_Device.h"
unsigned int * UART_SCI=(unsigned int *)0x4010;
void InitSci(void)
{ *UART_SCI=0x44; //设定MAX3160为RS-232的工作方式
EALLOW;
GpioMuxRegs.GPFMUX.all=0x0030;
EDIS;
SciaRegs.SCICCR.all=0x07;//将传输数据长度设为8位
SciaRegs.SCICTL1.all=0x03;//启动发送和接收
SciaRegs.SCICTL2.all=0x03;//允许RXRDY/BRKDT和TXRDY中断
SicaRegs.SCIHBAUD=0x00;
SicaRegs.SCILBAUD=0xF3;//设置传输的波特率为
SicaRegs.SCICTL1.all=0x23;//寄存器已设置好,将SW RESET置1,启动发送和接收
PieCtrl.PIEIER9.bit.INTx1=1;/启动中断
PieCtr1.PIEIER9.bit.INTX2=1;
}
2.2 数据的发送与接收
数据发送采用查询方式,其流程图如图3所示。数据的接收采用中断方式,其流程如图4所示。SCIATX_Ready是寄存器SCICTL2的发送就绪位,Send_Flag是TMS320F2812设置的一个发送标志。SCIARX_Ready是寄存器SCIRXST的准备就绪位。SCIA的接收中断为INT9.1,发送中断为INT9.2;而SCIB的接收中断为INT9.3,发送中断为INT9.4。本设计方案只使用SCIA的接收中断INT9.1。在中断服务子程序中,通过检查外设中断向量寄存器的值可转入相应的接收中断处理程序。

3 结论
本通信方案已应用于某上位机控制数据采集卡的运行中,结果表明是可行的。该方案虽然具有硬件电路简单、实施容易等特点,但由于受RS-232/485/422串行通讯的限制,因而只能用于短距离的数据发送与接收。此外,TI公司的TMS320F/C2XX系列DSP具有相同的串行通信模块,因此本设计方案也适用于其他的TMS320F/C2xx系列DSP器件。
时间:  2006-7-7 12:47
作者: qqqq


PMC4351在便携式2M误码测试仪中的应用
    

  
    摘要:PMC4351是PMC公司一款功能强大的集成了收发单元的可编程全功能E1、T1成帧器。它可在2M误码测试仪中实现2M数字口的所有测试功能,包括HDB3、AMI编解码、时钟提取,各种帧结构信号、各种测试图案和各种报警信号的产生和检测等。文中给出了PMC4351在2M误码测试仪中的工作原理及应用电路,论述了2M误码测试仪系统软件,并给出了PMC4351的初始化程序。
    关键词:2M便携式误码测试仪;PMC4351;液晶显示屏;现场可编程门阵列
    1 2M便携式误码测试仪系统的结构
    便携式2M误码测试仪(以下简称误码仪)集2M误码测试分析、数据存储、数据图形显示三种功能于一体。该仪器是通过采用嵌入式设计技术,把FPGA、成帧器、LCD控制器等核心部件进行系统集成,并利用SOPC、LCD图形显示等现代电子系统设计技术的一种综合设计,具有功能强大、技术含量高、体积小、重量轻等特点,携带和操作十分方便,有很强的实用性和良好的市场前景,代表了当今电子测量仪器的一种发展趋势。2M误码测试仪系统的结构如图1所示。

    1.1 人机接口模块
人机接口分显示和键盘两部分。其中显示模块采用可显示汉字和图形的图形点阵式液晶显示屏。汉字显示方便用户使用。图形显示可使用统计图表、曲线等多种显示方式来表达要显示的内容,使显示内容更加生动。由于仪器为手持式的,显示屏的外形要小,所以要求显示像素的尺寸也要小,这样才能有足够的像素点阵。显示屏模块还要带有显示控制器、显示缓冲器和背光电源,以便在现场光线不足时使用。
键盘模块分为两组:组合键和功能键。组合键在不同的层次界面下通过软件来控制,实现不同的功能,并在显示屏上显示。而一部分功能必须由功能键来完成,一个单元的键对应一个功能,如控制光标移动的上、下、左、右键。
1.2 2M测试模块
2M测试模块可以完成数字口的全部测试工作,包括HDB3码的编码和解码、时钟提取、测试图案的产生和检测、各种帧格式信号的产生以及告警信号的产生及检测等。本设计采用的是PCM-Sierra公司的PMC4351。PMC4351集成了先进的成帧器、收发滑动缓存器和一个片内短、长特理线路接口,当将其用作E1接口时,其帧结构符合CCITT G.704、G.706和G.732协议。PMC4351内含有E1成帧器和3个HDLC控制器,可提供2.048Mb/s的E1数据接口,完成E1信号转换,还具AMI、HDB3及B8ZS线路编码,帧标志的产生、零比特的插入和删除、CRC码的产生和校验、链路检测、错误报警及相应的处理功能。PMC4351包括接收线路接口单元(Receive LIU)、抖动消除器(JAT)、背板接口(Backplane interface)、时钟合成适配器(CSAD)、数据接收器(Transmit LIU)、E1成帧器(ETST)、发送线路接口单元(Transmit LIU)、微处理器接口(MPU)和连接测试接入端口组(JTAG)、弹性存储单元(ELST)十个主要部分。其功能框图如图2所示。

1.3 存储模块
存储模块用于记录监测结果,并提供实时时钟,主要器件是非常失性存储器和实时时钟电路,本设计采用Dallas公司的DS1746型模块,内含128K字节的非易失RAM和实时时钟。它有如下主要特征:
●集成了NV SRAM、实时时钟、晶振、电源失效控制电路和锂电池。
●内含的访问时钟寄存器方式与静态RAM完全相同,这些寄存器位于RAM中最高的8个地址。
●完全的非易失性,可在缺少电源的条件下工作10年以上。
●带有电池电压指示标志。
●具有电源失效写保护,允许±10%的VCC电源容差。
    1.4 控制模块
控制模块由Altera公司的FPGA(Cyclone EP1C12)、SDRAM、FLASH及外围电路组成。由FPGA内嵌的Nios软核来实现运算控制功能。Nios是Altera公司基于RISC技术的通用嵌入式软核处理器,它主要是利用Altera公司的SOPC Builder应用软件改造系统硬件平台,通过将包括32位高性能处理器在内的多种应用模块嵌入到一个通用的FPGA内,来实现一个完全可重置的处理模块。

2 2M测试模块设计
2.1 电路设计
2M测试模块由PMC4351及其外围电路组成,具体电路见图3。
图中,载有时钟信号的单极信号和参考电压从接收端口引入,并由时钟与数据恢复模块进行B8ZS和HDB3解码,时钟和PCM数据恢复、线路编码混乱监测及信号丢失检测。根据引入的RZ数据脉冲,模块使用数字锁相环进行时钟恢复并重建NRZ数据。当可编程连续比特周期极限内的线路脉冲输入的正负方向上都没有脉冲时,模块则指示为信号丢失。一旦出现一个单独线路脉冲,指示即被清除。当检测到线路信号丢失或出现时,即产生中断。
当RJATEN寄存器比特值为逻辑1时,接收抖动衰减器对发送数据进行抖动削弱处理,
PMON模块提供性能监控记数功能,即统计CRC错误事件、帧同步比特错误事件及线路编码混乱事件等。对于E1模式而言,线路编码混乱被定义为AMI编码信号及HDB3编码信号的双极混乱(BPV)。
E1成帧功能由E1-FRMER模块实现。E1-FRMR模块在引入的还原的PCM数据流中搜索基本帧队列、CRC复帧队列以及信道关联信令(CAS)。E1-FRMR也可根据用户选择对基于帧丢失、CRC复帧丢失和CAS复帧丢失进行检测和指示。帧重组操作可由软件(E1-PRMR帧队列选项寄存器)来进行初始化,也可在出现过多的CRC错误和400ms内未发现CRC我帧队列的情况下初始化。E1-FRMR还可识别帧、CRC复帧和CAS复帧的开始位置。能够提取国际通信比特(从和NFAS复帧NFAS复帧中)、国内通信比特和备用比特(CAS多帧中的时隙16和帧0),并分别存储在E1-FRMR国际通信比特/国内通信比特和备用比特寄存器中,同时,它也可以提取CRC子复帧的国内通信比特SA4-SA8,并存储在可读寄存器中,每个子复帧更新一次。
背板收接口输出包含BRPCM所有时隙2.048Mbit/s的串行数据流,BRFP信号可以指示每256bit帧的第一比特,或是CRC复帧的第一帧的第一比特。所以接收背板信号背板时钟保持同步。
E1转发器产生2046kbit/s数据流、生成帧、CRC复帧及CAS复帧。与传输单信道串行控制器(TPSC)一致,E1-TRAN块提供空闲码置换、数据转换、信令源与CAS数据及数字音频插入的单时隙控制。HDLC转发器(TDPR)和传输信道插入(TXCI)块串的时隙16均支持普通信道信令(CCS),CCS支持AIS和TS16 AIS的传输出及远端警报(RAI)和远端多帧警报信号的传输。
1.1 软件设计
误码仪的软件按照软件工程的要求进行设计。按功能模块的划分可分为以下几个部分:初始化模块、键盘模块、运算处理模块、显示模块、管理控制模块、存储操作模块和打印模块。
系统的初始化模块包括开机自检、硬件参数初始化等;键盘模块对面板上的用户输入进行分析处理,并转到管理控制模块,通过调用相关函数实现并进行处理;算法处理模块对从PMC4351输入的原始数据进行分析,并由状态显示模块显示程序运行时的各种状态;存储操作模块对各次操作结果和分析进行记录,并对记录进行管理;打印模块完成对测试结果的打印。
图3
    误码仪的所有与硬件有关的操作都以标准函数形式提供,上层应用程序与硬件不发生任何联系,而是通过标准函数访问。由SOPC Builder应用软件生成的用户逻辑接口来完成对PMC4351的硬件驱动。上层应用程序对用户逻辑的函数调用即可完成对PMC4351的操作。以下给出PMC4351的初始化程序:
pmc4351_address=(unsingned char *)((char *) na_user_logic_my_mem_0);
void pmc_initialz(void)
{ unsigned char value;
Cls_tmplet();
Int_templet();
Pmc4351_address[0x00]=0x91;
Pmc4351_address[0x04]=0x40;
Pmc4351_address[0xf0]=0x0c;
Pmc4351_address[0xd6]=0x00;
Pmc4351_address[0x10]=0x00;
Pmc4351_address[0x1c]=0x03;
Pmc4351_address[0x20]=0x03;
Pmc4351_address[0x80]=0x60;
Pmc4351_address[0x90]=0xc2;
Pmc4351_address[0x50]=0x00;
Pmc4351_address[0x40]=0x39;
Pmc4351_address[0x41]=0x01;
Pmc4351_address[0x30]=0x01;
Pmc4351_address[0x31]=0x00;
Pmc4351_address[0x32]=0x01;
Pmc4351_address[0x06]=0x01;
Pmc4351_address[0xf8]=0x01;
Pmc4351_address[0xf9]=0x00;
Pmc4351_address[0xfa]=0x01;
Pmc4351_address[0xfb]=0x01;
Pmc4351_address[0xfe]=0x00;
Pmc4351_address[0xff]=0x0b;
Pmc4351_address[0x19]=0xff;
Pmc4351_address[0x1a]=0xff;
Pmc4351_address[0x1b]=0x11;
Pmc4351_address[0x15]=0xff;
Pmc4351_address[0x16]=0xff;
Pmc4351_address[0x17]=0x11;
Pmc4351_address[0x02]=0x00;
Pmc4351_address[0xdc]=0x34;
Pmc4351_address[0xf4]=0x01;
Pmc4351_address[0xf4]=0x01;
value=pmc4351_address[0xf4];
value=value&0xfe;
Pmc4351_address[0xf4]=value;
Pmc4351_address[0xf5]=0x01;
Pmc4351_address[0xf5]=0x01;
value=pmc4351_address[0xf5];
value=value&0xfe;
Pmc4351_address[0xf5]=value;
Pmc4351_address[0xf6]=0x01;
Initial_RLPS_euqa();
}
void initial_RLPS_euqa(void)
{unsigned int addr;
Pmc4351_address[0xfd]=0x00;
For(addr=0;address
{ Pmc4351_address[0xd8]=equalizer_val[addr][0];
Pmc4351_address[0xd9]=equalizer_val[addr][1];
Pmc4351_address[0xda]=equalizer_val[addr][2];
Pmc4351_address[0xdb]=equalizer_val[addr][3];
Pmc4351_address[0xfc]=address;
Time_delay(1);}
}
3 结束语
文中主要讨论了PMC4351在便携式2M误码测试仪的硬件和软件设计中的设计思路和设计方案。本设计采用目前非常先进的软硬件协同设计的SOPC技术方案完全是可行的。测试结果表明,该设计的技术指标达到了设计要求,具有非常广阔的应用前景。

时间:  2006-7-7 12:47
作者: qqqq


TMS320C54xx与TLV320AIC24型编解码器接口
     
    摘要:DSP由于其强大的数字信号处理能力而被广泛应用,而DSP与其他器件的接口方法是设计基于DSP器件的各种系统应重点考虑的问题。本文介绍一种TLV320AIC24型编码解码器与DSP的接口设计方法。给出TMS320C54xx与TLV320AIC24的硬件接口电路和部分软件程序。
    关键词:DSP;TMS320C54xx;TLV320AIC24;接口,DMA
1 前言
TMS320C54xx是TI公司生产的具有较高性价比的DSP系列,采用高性能的改进型哈佛总线结构;内含一个40bit的算术逻辑单元(包括一个40bit的筒形移位器和两个独立的加法器)、软件可编程等待状态发生器以及可编程分区转换逻辑电路;同时带有内部振荡器或用外部时钟源的片内锁相环(PLL)时钟发生器;该DSP带有全双工串行口,支持8位或16位传送;同时带有时分多路串行口、缓冲串行口、16位可编程定时器、8位并行主机接口等;可用外部总线进行关断控制,以断开外部数据总线、地址总线和控制信号;数据总线具有总线保持特性。

TI公司的TLV320AIC24型多媒体数字信号编解码器则可提供时分复用串行口(SMARTDM),并可用一个先进的TDM格式同步4线串行口以优化DSP的性能,从而实与流行DSP(如C5000、C6000)和微控制器的无缝连接。SMARTTDM提供了连续数据转换和再配置编程两种模式(ADC/DAC和控制数据),可使TLV320AIC24 DSP编码器和DSP数据转换的带宽最大化(只对ADC/DAC数据)。在一般的操作中,它会自动发现串行接口中的编码个数并调整时钟数以与编码数据相匹配。而在TURBO操作中,它可以保持相同的时钟数值,但位转换率最大到达25MHz,允许其他的DSP外围串行器件在相同的采样周期内分离相同的串行总线。TLV320AIC24支持任意基于SMARTDM的器件级联,实现多通道编码(最多可有8路TLV320AIC24编码级联到单个串行口),它所支持的3种串行口配置为单机主、单机从和级联主从模式。
TLV320AIC24还提供两种16位Σ-△A/D通道和16位Σ-△D/A通道,可连接到手机、耳机、麦克风等外部设备;其嵌入的模拟和数字侧音、反偏滤波器、可编程输入输出增益控制等电路楞为麦克风提供前置放大和150Ω负载、硬件/软件控制的掉电模式等功能;TLV320AIC24具有和TMS320C54xX完全兼容的电源电压;其可编程最高采样率达26ks/s(用片上IIR/FIR滤波器)和104ks/s(用IRR/FIR侧通);在8ks/s的采样率下,它们的片上FIR可产生87dB的动态范围(对ADC)和92dB的动态范围(对DAC);ADC和DAC滤波器符合G.711和G.722协议。此外,TLV320AIC24还提供了一个灵活的主机接口(一个可以编程为标准工业I2C总线协议或S2C总线协议的2位串行口)。
2 硬件接口
DSP McBSP和TLV320AIC24的接口方式为4路SMARTDM信号,分别为帧同步、串行数据输出、串行数据输入和时钟源,它们分别连接到FSX/FSR、DR、DX、CLKX/CLKR。在级联模式下,DSP可通过主器件的FS端接收帧同步信号,主器件的FDS端连接到第一级从器件的FS端,而将第一级从器件的FSD连接到第二级从器件的FS端,如此类推。最后一级的FSD通过电阻器连接到3.3V电源输入端。图1给出级联TLV320AIC24和DSP的连接方式。

3 软件编程
TLV320AIC24的每一个通道都含有6个控制寄存器,可以编程所需的操作模式。在控制帧模式下,通过DIN引脚可以对所有的寄存器进行编程。在一个帧同步延时后,新的配置生效。上电后,TLV320AIC24被默认为编程模式。设置控制寄存器1到第6位可用于转换连续数据模式。如果采用15+1数据格式,那么,把DIN的最低位设置成1可将连续数据传输模式转换成编程设置模式。其格式如下:
其中,D15-D13位为控制寄存器地址,操作时可与位D7-D0所携带的数据一块写入;位D12用于确定对所寻址寄存器的读写;位D11用来确定是否选择广播模式;位D10-D8永远设置为1。
下面给出编码器寄存器的编程例子。其中,DSP通过DMA连接到SMARTDM以对TLV320AIC24进行初始化。DMA是指在不需要CPU干预的情况下,在映射存储区的不同区间传输数据的技术。DMA可支持上存储器、片上外设(包括EHPI8)或是外部设备间无CPU负责的独立数据交换。
(1)初始化
SeriaIPortOInit(); //串行口初始化TLV320AIC24
DMAC_init(); //DMA初始化
DMAC_ch_enable(DMAC_CH_0);
DMAC_ch_enable(DMAC_CH_1);
*IMR=DMAC0 |DMAC1; //开DMA中断
*IFR=0xffff;
SeriaIPort0_TXRX_Enable(); //重新设置串行口0配置函数
Asm(" rsbx intm"); //使能中断
......;
(2)DMA0中断服务子程序,进行数据接收
interrupt void DMAC0_isr()
{if (mode==1)
{if(rx_full==0)
{if(receive==0)
{*(DMSA)=0;//配置通道0
*(DMSDI)=(unsigned short)MCBSP0_DRR1;//通道源地址寄存器配置为MCBSP0的数据接收寄存器的地址//
*(DMSDI)=(unsigned short)dmac_ch0B;//DMDST0
.0通道目标地址寄存器
*(DMSDI)=0x07; //DMCTR0.0通道单元计数器寄存器
*(DMSDI)=0x1000;//DMMCR0-0通道传输模式控制寄存器
receive=1;
}
else
{......;
receive=0;}
dmacount0++;
rx_full=1;}
DMAC_ch_enable(DMAC_CH_0);//使能DMA通道0
}
else
{if(frame>=4)
{......; //配置通道0
}
else
{......; //配置通道0
}
DMAC_ch_enable(DMAC_CH_0);//通道0使能
}}
(3)用DMA初始化TLV320AIC24子程序
short dmc_ctrl[CTRL_BUF_SIZE]={0,0,0,0,
AIC_RESETs,0,0,0,0,0,0,AIC_Ms,AIC_NFs,
AIC_DACs,AIC_MUTE_SITONs,0,0,0,0,
AIC_CH1_IN,AIC_CH2_IN,AIC_CH1_IN,
AIC_CH2_IN,0,0,0,0,AIC_CH1_OUT,
AIC_CH2_OUT,AIC_CH1_OUT,AIC_CH2_OUT,0,0,
0,0,AIC_ADCs,AIC_16BITs,0,0};
void DMAC_init()
{*(DMPREC)=0x8380;配置通道优先工作寄存器,初始化所有通道为禁止和低优先级
//设置通道0
......
//设置通道1
*(DMSA)=5;
*(DMSDI)=(unsigned short)dmac_ctrl+1;//通道1源地址寄存器
*(DMSDI)=(unsigned short)MCBSP0_DXR1;//通道1目标地址寄存器配置为MCBSP0的数据发送寄存器//
......
//设置单元和帧地址索引寄存器0
......
}
4 结束语
本文介绍的硬件设计方法和软件编程可以完成语音信号的采集与回放,从而实现语音数据的采集、处理和存储等功能。该方案能够满足主流语音处理产品的要求,可以广泛应用在各种相关设备中。

时间:  2006-7-7 12:47
作者: qqqq


SBS管理器确保锂离子电池安全高效

  
锂离子电池目前已成为笔记本电脑和手持系统能量来源(电源)的首选。随着CPU、显示器和DVD驱动器对电源功率的需求持续增长,高能量密度的电池组也不断发展。同时,大批量制造工艺保证了高能量密度电池组有一个合理的价格水平。
许多新技术,在提高性能的同时也增大了系统的功率消耗。对生产电池的化工企业来说,电池生产技术的实质性进展是很困难的,耗时长、成本高。所以必须寻找寻找优化电源保存的方法。智能电池系统(SBS)是出现的最有希望的技术,可以大大提升电池组的性能。
在计算机工业界,对锂离子电池真是又爱又怕。在锂离子电池应用的早期所发生的事故,仍然让曾涉入的公司记忆犹新。他们得到了印象深刻的教训:在任何情况下,都不能超过锂离子电池的额定参数,否则肯定会引起爆炸或起火。
除电池的化学成份或电极等参数外,对锂离子电池来说,还有几个确定的参数,如果超过了会使电池进入失控的状态。在解释这些参数的图表中(参考锂离子参数图),相应阈值曲线外的任一点都是失控状态。随电池电压增加,温度阈值下降。另一方面,任何致使电池电压超过其设计值的行为都会导致电池过热。
谨防充电器造成危害
电池组制造商设定了几层电池和包装保护,以防止危险的过热状态。但在电池使用中有一个部件可能会使这些措施失败从而造成危害,这一器件就是充电器。
充电锂离子电池造成危害的途径有三种:电池电压过高(最危险的情况);充电电流过大(过大充电电流造成锂电镀效应,从而引起发热);不能正确地终止充电过程,或在过低的温度下充电。
锂离子电池充电器的设计人员采取额外的预防性措施以避免超出这些参数的允许范围。以绝对保证系统有关参数工作在安全的范围内。
例如智能电池充电器规范,允许-9%的电压负偏差,但强调正偏差不得超过1%。保证了符合智能电池安全标准。当然,在实际设计中,偏差的正负是随机的。所以符合此规范的设计经常是使充电器的目标电压值设定在额定值的-4%附近。

由于充电电压的不准确(不管是-4%还是-9%),电池始终处于充电不足的状态。对锂离子电池潜在危险的恐惧导致电池组容量的利用率很低。根据业界专家的经验,即使充电后电压只比额定值低0.05%,容量的下降却高达15%。
电池内置入计算机
智能电池技术的原理是很简单的,在电池内置入小型计算机来监视和分析所有的电池数据,以精确预报剩余电池容量。剩余电池容量可以直接换算成便携式计算机的剩余工作时间。与原始的仅靠电压监测的容量测量方法相比,可以立即使工作时间延长35%。
遗憾的是,智能电池技术也就只能做到这么多了。除非可以和充电器电路互相通信,他们不可以确定其操作环境或对充电过程进行控制。
在“智能电池系统”环境下,在特定的电压和电流情况下,电池请求智能充电器对其进行充电。然后,智能充电器负责根据请求电压和电流参数对电池进行充电。
充电器依靠自己内部的电压和电流参考调整自己的输出,以与智能电池请求的值相匹配。由于这些基准的不准确度可达-9%,所以充电过程可能在电池只是部分充电的情况下结束。
对充电环境的更详细了解可以揭示出更多影响锂离子电池充电效率的问题。即使在最理想的情况下,假设充电器的精确度为100%,充电通路上位于充电器的电池间的电阻元件引入了额外的压降,特别是恒流充电阶段。这些额外的压降导致充电过程过早地从恒流进入恒压阶段。
由于电阻引入的压降随电流降低会逐渐减弱,充电器最终会完成充电过程。但充电时间会延长。恒流充电过程中能量的转移效率要高一些。
消除电阻压降
最理想的情况是充电器的输出准确地消除了电阻压降的影响。可能会有人提出这样的解决方案,在充电过程的所有阶段,智能充电器利用智能电池内监测电路数据监视并校正自己的输出。对单个电池系统来说,这是可行的,但对双或多电池系统就不太适用了。
在双电池系统中,如果可能的话,最好是同时对两个电池进行充放电操作。虽然电池充电是并行的,典型的只有一个SMBUS端口的充电器还是不能胜任这一工作。因为如果只有一个SMBUS端口,充电器或其它SMBUS设备,只能同时与一个电池进行通信。所以,理想的系统应该提供两个或更多个SMBUS端口,这样,两个电池就可以同时与充电器通信了。
智能电池系统(SBS)管理器
除提供多个SMBUS端口以外,SBS管理器技术也可以大幅提升锂离子智能电池的性能。SBS管理器是SBS的一部分,由SBS1.1规范所定义。它代替了前一版本(由智能电池系统实现论坛,www.sbs-forum.org)中定义的智能选择器(Smart Selector)。
SBS管理器一方面提供了与驱动器和振作系统端的接口,另一方面则对智能电池和充电器进行管理。驱动器可读取和请求发送与电池、充电器和管理器本身有关的信息。规范中定义了与这一信息传输有关的接口。在一个多电池系统中,SBS管理器负责选择系统电源,决定在特定的时刻对那一块电池进行充电或放电。简短来说就是,SBS管理器确定对哪一块电池进行充电,哪一块进行放电,以及什么时候进行。
一个实现得好的SBS管理有几大优点:更完全、更快速的充电过程、同时进行高效充电和放电、以及对危险情况(如潜在的电压超限)的检测和快速反应能力。
可以监测电池本身电压的SBS管理器可将电池充到其真实的容量。可以避免由于智能充电器由于监视电压不准(如前所述,一般为-4%到-9%)而造成的充电不足。此外,这一过程并不需要特别精确的基准电压(精确的电压基准是很昂贵的)。
避免使用精确电压基准的策略是利用智能电池内部的测量电路测量电池电压,其精度可达1%。这样,SBS管理器可命令充电器适当增高电压直到监测到的电压达到合适的值。
实现得好的SBS管理器可使电池的充电过程比传统充电器快16%。安全地提高充电器的输出电压,使其高于电池的额定电压以补偿由于电池的内部电阻及回路电阻造成的压降。通过监测电池内部电压并可迅速调整充电器电压,可以实现这一过程。
何时及如何充电
SBS管理器可以决定什么时候同时对电池组进行充电。同时充电允许更好地利用充电器的电流进行充电。在单电池系统中,当进入恒压充电模式时,充电器提供的充电电流随电池充满程度的提高而减小。没有用到的电流被浪费掉了。在利用SBS管理器的双电池系统中就不是这样了,对一块电池充电时利用不上的电流可以为另一块所用。
而且,SBS管理器可以判断哪一块电池的状态可以更快地进行能量传输。可以最快地增加系统容量的电池最先被充电,哪些可以充入更多的能量的电池则先被快速放电。这样可以加快充电过程达60%。SBS管理器还可决定何时使能同时放电功能。适当的同时放电可以使系统容量增加16%之多。
当然,所有这些改进对电池的性能来说都必须是安全的。正如前面讨论过的一样,锂离子电池有一额定电压。当加到电池上的电压达到最大值时,充电过程从恒流转换至恒压模式。对这一转换点的检测,是由智能充电SBS管理器负责的,根据是测量到的电池电压。但SBS管理器比智能充电器的巨大优点是,它可以不断监视和校正充电器以及电池电压。这样在达到电池的最大容量的情况下还保证了安全。
由于计算机等设备性能不断提高,能量的需要增长很快,化学电池的改进还无法赶上这一增长速度。虽然SBS技术非常有帮助,但总会有一天仅靠SBS技术无法提供高性能系统需求的功率,需要更为智能化的电源管理方案。
如果那个OEM厂商可以使笔记本电脑持续工作6个小时而不会明显地影响到性能,就会迅速占领市场。SBS管理器朝这一目标迈进了一大步。

时间:  2006-7-7 12:48
作者: qqqq


TPS60101用于低功耗系统的电源解决方案

  
    摘要:介绍一种新颖的电荷泵直流稳压芯片TPS60101的性能特点和使用方式,结合实例分析其在低功耗单片机系统中的应用。
    关键词:TPS60101 电荷泵 低功耗 单片机
随着单片机应用技术的发展,各种应用场合对单片机系统有了更加严格的要求,便携式解决方案在系统设计中开始占据越来越大的比重,并越来越多地倾向于低电压、低功耗、微型化设计。在这些设计中,一般采用镍氢、镍镉或锂电池作为系统供电方式;在一些使用交流供电的系统中,均设计了后备电池供电方式。TPS60101具有高效率、宽输入电压范围、稳压效果好、低漏电流、体积小等突出优点,可以为这些设计提供完美的电源解决方案。

1 TPS60101芯片简介
  TPS60101是Texas Instruments公司新推出的一种低噪声电荷泵直流稳压芯片,能在输入电压动态范围较大时,为单片机系统提供稳定的直流供电。
1.1 封装形式及引脚说明
  TPS60101芯片的封装为一种特殊的TSSOP贴片封装,如图1所示。
芯片各引脚功能描述如表1所列。
表1 TPS60101引脚功能
引脚名 引脚号 功  能  描  述
3V8 19 模式选择,接低电平输出为标准3.3V,外接IN输出为预置3.8V
C1+ 6 外接电荷泵电容C1正极
C1- 8 外接电荷泵电容C1负极
C2+ 15 外接电荷泵电容C2正极
C2- 13 外接电荷泵电容C2负极
COM 18 模式选择。扫低电则电荷泵工作于推挽模式,提供最佳稳压性能;接IN工作于单端模式,只需1个外接电容
ENABLE 3 使能端。接IN正常工,接低电平进入关断状态
FB 4 反馈输入,接输出脚以获得最佳稳压效果
GND 1,20 模拟地
IN 7,14 外电源输入
OUT 5,16 稳压电源输出
PGND 9~12 稳压电源地
SYNC 2 时钟信号选择,接地使用片内时钟,扫IN使用片外时钟同步
1.2 TPS60101的性能特点
  精密的制造工艺和优良的设计使得TPS60101具有出色的电气性能:
◇ 最大输出电流100 mA,可满足绝大多数低功耗单片机系统的要求;
◇ 少于5 mV的输出电压波动,提供3.3(1±0.04)V的稳压输出;
◇ 仅需少量外围元件,无需谐振线圈等器件,应用电路体积很小;
◇ 电荷泵效率可达90%;
◇ 宽输入电压范围,1.8~3.6 V均可正常工作,充分保证了单片机系统在外接不同类型电源以及电池电量状态变化过程中得到稳定的电源供应;
◇ 50 μA的工作附加电流,0.05 μA的关断漏电流,消耗电能很少;
◇ 关断模式下,稳压电源输出隔离,增加电源管理的可靠性;
◇ 微型的TSSOP贴片封装,减小应用电路体积。这种封装形式在芯片底部集成了散热片,可直接与印制板相连,在没有增加电路体积的情况下有效提高了散热性能。

2 TPS60101的使用方式
  和一般的电源稳压芯片相比,TPS60101的使用有一些特殊性和值得注意的地方,笔者将结合实际使用的体会加以阐述。

2.1 电荷泵工作方式选择
  TPS60101片内集成了2个升降压电荷泵,通过改变芯片的18脚COM的外接电平可以选择电荷泵的2种工作状态:COM接地为推挽模式,接高电平为单端模式。推挽模式中,片内的2个电荷泵的工作状态在时域上有180°的相位差,各占据50%的负载周期进行推挽输出。这种方式可以在最大限度上避免输出电压的波动,得到最好的稳压效果,但需要外接4个电解电容。在单端模式中,2个电荷泵是无相位差的并行输出。这种方式仅需1个外接电容。图2给出了单端模式的应用电路。
  一般来说,在对实际应用电路的体积没有严格要求的情况下,应当选择推挽模式以获得最好的工作性能。但是,由于TPS60101芯片本身体积很小,影响应用电路体积的主要因素是外接元件。若工作于单端模式,则应用电路的体积可以减少一半以上。如果在电压稳定度要求一般,但是对电路体积要求严格的情况下,也可以考虑使用单端模式。
2.2 同步时钟源选择
  通过改变第2脚的SYNC的外接电平可以选择TPS60101的同步时钟源。SYNC接低电平使用片内晶振产生的同步时钟信号,SYNC接高电平使用外部同步时钟信号,外部时钟信号引至3V8脚。
  一般场合下只需使用片内时钟即可。但是,如果TPS60101的供电系统工作于某一个固定频率时,采用外部时钟同步方式更加合理。需要注意的是,在使用外部时钟同步方式时,SKIP脚应接地以降低输出噪声。图3给出了外同步时钟方式的应用电路。
    2.3 输出工作方式选择
  通过改变芯片19脚3V8的外接电平可以选择芯片的输出工作方式。3V8接低电平为标准3.3 V输出,接高电平为预置3.8 V输出。在一般的应用场合,均应使用第1种方式;只有在电压要求非常严格的情况下,才采取第2种方式。TPS60101提供粗略的 3.8 V输出,后级再外接1个低压差稳压器,例如TPS7330芯片,以获得更加精确的3.3 V输出。

2.4 电路印制板设计
  TPS60101的电路板设计有一些值得注意的地方:
第1,芯片引脚宽为0.30 mm,间距0.65 mm,较普通SO贴片封装更加密集,需要自建封装库文件;
第2,芯片底部和印制版接触处集成了一散热片,在印制板上对应的位置需要铺铜焊接,并和电源地相连;
第3,所有的PGND和GND引脚应该以尽可能短的粗导线相连。
3 TPS60101在低功耗单片机系统中的应用
  我们在设计实现一个低功耗单片机系统的过程中,使用了TPS60101芯片作为系统电源解决方案,并收到了满意的效果。
  这一系统是一种支持USB总线通信的移动无线数据采集装置。系统采用8051内核的单片机作为中心控制器,扩展了无线数据采集模块、Compact Flash卡数据存储模块以及USB总线收发模块。系统严格要求低功耗,并具有可移动性,所以系统供电方式采用锂电池供电。因为系统对电路体积要求不严,主要器件工作于异步方式,并且标称的3.3(1±0.04)V的输出电压可以满足需要,所以,实际设计中将电荷泵设定于推挽模式,芯片使用片内同步时钟,以标准3.3 V方式输出。应用电路如图4所示。图5为系统供电方式示意图。
  为了进一步降低系统耗电,系统在进行USB数据通信时,转由USB总线供电。系统用一个判别电路判定当前是否连接到USB总线,然后根据结果选择供电方式。另外,设计了自动休眠功能以减少不必要的电池损耗。系统中使用了2片TPS60101的供电方式,一片用于给单片机系统不间断供电,另一片给其余模块供电。当系统在一段时间未接收到外部输入时,单片机通过控制TPS60101的ENABLE来实现关断系统其它模块电源的功能;当系统接收到外部输入时,则从休眠态中恢复到工作状态。实现定时休眠功能的C51程序段如下:
while(1)            //程序主循环
{ ……             //空闲时间大于5 min进入休眠态
  if ((idletime>3000)&&(sysmode==ON)
    {idletime=0;enable=0;
      sysmode=SLEEP;}
  if (IsInput==TURE)       //有输入则唤醒
    {enable=1;sysmode=ON;}
……
}
void timer0() interrupt 1 using 1
{                //中断间隔为100ms
  ……
  if (sysmode==ON)
  idletime++;
  ……
}
时间:  2006-7-7 12:48
作者: qqqq


过流保护在可控硅整流装置中的应用

  
    前言
    可控硅整流装置不论在电力系统还是在现代工业的各行各业中已得到广泛应用。如冶金行业中,应用于金属冶炼;化工行业中,应用于电解、电镀;在电力系统中,既可作为系统控制、保护的工作电源,同是又可作为蓄电池的充电装置。可控硅整流装置要安全运行,必须有可靠的保护措施。在整流装置过载或者输出短路时,保护措施能起到安全保护作用,使装置不受损坏。我们把这种保护功能,归结为限流保护和过流保护。这两种保护是否可靠,直接影响产品的质量,代表着产品的水平。
    1 可控硅整流装置的控制原理
    1.1可控硅整流装置的开环控制
    以三相全控桥为例,可控硅整流装置的输出电压Ud与可控硅控制角α之间的关系如下:
    Ud=1.35Uzlcosα
    式中:Ud—可控硅整流装置输出电压;Uzl—整流变压器二次侧线电压;α—可控硅控制角。
    由上式可以看出,可控硅整流装置的输出电压与可控硅控制角α有关系。在如图1中α实际上由控制电压Uy决定,即当Uy增加时,α增大,则Ud减小;当Uy减小时,α减小,则Ud增大。所以调节Uy的大小,可以控制整流装置的输出电压值。这便构成了整流装置的开环控制。
    1.2可控硅整流装置的闭环控制
    整流装置的输出通过调节单元,来控制Ud这一过程便构成了可控硅整流装置的闭环控制。如图2所示。图中的调节单元为整个控制系统的核心,这个调节单元设计的如何,决定着整流装置能否正常工作。
    1.3调节单元
    调节单元的构成及原理如图3所示。图中Uvf为装置Uif为装置输出电压或电流反馈信号。当只有电压反馈Uvf时,整流装置工作在恒压状态下;当只有电流反馈UIf时,装置工作在恒流状态下。R1、R3、R5、C、N构成了PI调节器。PI调节器输出Uy与电压反馈Uvf之间的关系为:
    由式中可以看出,Uvf决定Uy,从而决定整流装置的输出电压Ud,这样就构成了一个自动调节系统。这一调节单元的加入,使整流装置自动工作在恒压或恒流状态。
    当电网波动或整流装置负载变化而引起整流装置输出电压高于输出整定值时,电压反馈Uvf升高,Uy也升高,则控制角α增大。由整流装置输出电压公式可以看出,Ud相应减小,控制角α减小,使Ud增大,以达到整定值。通过这种自动调节,使整流装置达到稳定电压的目的。整流装置处于恒流工作状态时,其调节过程与恒压状态的调节过程原理相同,这里不再赘述。
    RP1为整流装置输出电压或电流值的设置电位器,通过RP1的调整,使装置输出一定的电压或电流值。
    2 限流保护
    限流保护是在整流装置工作在恒压状态下所加入的一种保护措施。当整流装置输出电流超过额定值时,这种保护能使整流装置的输出电压降低,并使装置继续运行,如图4所示。
    电流反馈信号Uif经过运算放大器放大,再经过反相器倒相后,与电压反馈信号Uvf通过选通电路相迭加在一起,做为PI调节器的输入。这里UIfˊ=R7/R5(R2/R1•Uif+R2/R3•URP1)
    运算放大器N1与反相器N2完成电流反馈信号的放大作用。电路应该这样设计和调整,当整流装置输出电流超出输出电流额定值,即|UIf|>|URP1|时,保证UIFˊ>Uvf;当整流装置输出电流低于输出电流额定值即|UIf|
    当UIFˊ>时,Uˊ=UIFˊ-Uv2
    当UIFˊ
    综上所述,电流反馈与电压反馈经选通电路后,保证只有一个信号作为PI调节器的输入。也就是说,当整流装置输出电流超出电流额定值时,则只有电流反馈作为PI调节器的输入,那么整流装置处于恒流工作状态。当整流装置输出电流低于电流额定值时,只有电压反馈作为PI调节器的输入,则整流装置工作在恒压状态下。
    由此可见,整流装置只有加入限流保护后,在超负荷运行时,电流能受到有效的抑制,元件不会被损坏,装置能得到可靠的保护。
    在实际工作中,用于给蓄电池充电的整流装置,就经常工作在限流状态下。比如,在为蓄电池恒压充电时,由于电池初始电压很低,整流装置的输出电压与电池端电压之间的压差较大,则充电电流很大,超出整流装置输出的额定电流,但由于整流装置中设有限流作用,装置便可在额定输出状态下恒流运行,随着电池电压的上升,使整流装置逐步脱离限流环节,自动转为恒压工作状态。图5给出了整流装置在为蓄电池充电时的电压、电流与时间的关系曲线。
    3  过流保护
    用在可控硅整流装置中的过流保护方式很多,如快速熔断器保护、快速电流继电器保护、自动空气断路器保护和电子回路保护等。根据多年的实际经验,我们采用电子回路作整流装置的过流保护措施,其原理见图6所示。
    可控硅触发脉冲是由一个电平信号Uk来控制,当Uk为“1”电平时,可控硅触发脉冲关断,则整流装置输出为0。当Uk为“0”电平时,可控硅触发脉冲正常输出,则整流装置输出电压为Ud。
    图6中,R1,R2,N组成比较器,通过RP1来设置过流保护值;V1为钳位二极管,Uk为可控硅触发脉冲输出的控制信号。当整流装置输出电流超出额定值的20%时,电流反馈UIF>URP1,则比较器输出为“0”电平,使三极管V2截止,此时Uk为“1”电平,使整流装置输出电压为0。钳位二极管V1保证系统在出现过流时,比较器输出电位为“0”电平,使整流装置可靠关断。
    这种过流保护电路的设计,确保了在整流装置输出正负极短路时,不致于损坏装置中的任何元件。实践证明,这种电路工作极为可靠。
    4 结语
    限流、过流保护在可控硅整流装置中的完善,使整流装置运行起来更加安全可靠。这种保护措施不仅适用于可控硅整流装置,而且同样适用于开关电源和其它直流稳压装置,在电力系统中,为无人职守提供了可能,并为全自动整流装置的诞生奠定了基础。
时间:  2006-7-7 12:48
作者: qqqq


8线总线收发器SN74LVCC3245的原理及应用


  
    在新一代电子产品设计与应用中,低功耗和高速度已经成为数字电路设计的发展趋势。但是众所再知,芯片的功耗与频率成正比关系,这两个看似不可调和的矛盾,最终导致了各种低压数字器件的出现。如TI公司的TMS320F2812就采用了核心1.8V和外围电路由3.3V供电的架构,但这也同时带来了新的问题,就是大多数外围数字芯片仍为TTL或CMOS逻辑电平,当把微处理器I/O电压移植到较低的节点,而外设仍留在电压较高的节点时,经常会出现微处理器与外设I/O之间电压不匹配的现象。
    针对上述问题,德州仪器(TI)推出了AVC及LVC等多款新型双电源电平转换收发器,从而为运行于不同电压节点上的接口设备提供了理想的选择。这些转换产品能够在1.5V、1.8V、2.5V、3.3V与5V电压节点之间进行灵活的双向电平转换,因此非常适用于便携式消费类电子产品、网络、数据通信及计算应用领域。TI的新型双电源电平转换器件能够在保持信号完整性及速度不变的情况下,在接口电压完全不同的两个设备之间进行通信。此外,该系列器件还提供全面的可配置性,如果采用AVC技术,则每条轨可从1.4V配置为3.6V,而采用LVC技术则可从1.65V配置为5.5V。本文介绍带有三态输出且输出电压可调的8线总线双向电平转换器SN74LVCC3245的原理及应用。
1 SN74LVCC3245简介
SN74LVCC3245是8位正逻辑总线收发器,它有两个独立供电电源轨。其中B口被用来跟踪Vccb电压,可以接收的电压范围为3V到5.5V,与此相对应的A口则用来跟踪VCCA电压,可以接收的电压范围为2.5V到3.6V。这种结构允许数字逻辑从一个供电电压为3.3V的系统环境转换到一个供电电压为5.5V的系统环境,反之亦然。
SN74LVCC3245可以应用于数字总线间的异步通讯,完全数据从A总线到B总线或B总线到A总线的数字传递,传递方向取决于方向控制引脚DIR上的逻辑电平。输出允许引脚OE可以用来禁用器件,这样可对总线进行有效隔离。这些控制电路(DIR,OE)是由VCCA供电的。图1示出SN74LVCC3245的引脚排列。

SN74LVCC3245双向电平转换器具有如下主要特点:
·双向电压转换;
·A口输出电压范围为2.3V~3.6V;B口输出电压范围为3V~5.5V;
·控制输入信号VIH/VIL逻辑电平参数VCCA的电压;
·Latch-Up性能超过250mA(每JESD 17);
·ESD保护超过JESD 22标准,具体如下:
2000V人体模型(A114-A);
2000V机器模型(A115-A);
1000V放电设备模型(C101)。
2 真值表和内部逻辑

表1是SN74LVCC3245的逻辑真值表,当OE和DIR均为低电平时,数据由B口传输到A口;当OE为低电平而DIR为高电平时,数据由A口传输到B口;如果OE为高电平,则器件将与外部总线隔离。图2给出SN74LVCC3245的内部逻辑原理图。
表1 真值表
INPUTS OPERATION
OE DIR
L L B data to A bus
L H A data to B bus
H X Isolation
3 SN74LVCC3245在DSP中的应用
DSP以其强大的信号处理能力见长,但控制能力却明显不足。因此,当设计控制口线较多的系统时,可采用双处理器的方法(即采用DSP加控制器力相对较强的普通52单片机)来构成整个系统,这样,DSP作为下位机发挥其运行能力强的优势来进行信号处理,并通过串口与上位机(单片机)通讯,接收其控制指令和设置参数,并将处理好的数据传输到52单片机,而单片机完成数据显示、打印等控制功能。这样,在该系统中就会存在电平不匹配的问题。如系统使用的DSP是TI公司的TMS320F2812,那么,其I/O电源是3.3V,但普通52单片机的数字逻辑电平为5V的CMOS电平,这就需要对两者通讯所用的串口信号线进行电平转换。此外,SPI(serial peripheral interface)总线串口是由Motorola公司提出的一种同步串行外设接口,该接口通常也需要完成TxD和RxD这两根信号线的电平转换,图3所示是一种用SN74LVCC3245完成电平转换的设计方案。

4 结束语
微处理器的I/O电压正从1.8V转移到1.5V,而内核电压能够低于1V.外围设备组件的电压虽然也在降低,但水平通常落后于处理器一代左右.电压降低方面的发展不均带来了系统设计者必须解决的关键性难题——如何在信号电平之间进行可靠的转换。正确的信号电平可以保证系统的可靠工作,而总线收发器是其根本保护。
采用高速高分辨率信号采集卡构成超声探伤


  
慧聪网   2006年1月18日10时58分   信息来源:国外电子元器件   


1 概述
超声波探伤系统生产商在无损探测薄片钢部件中,所有的测量方法都是使用模拟电路。但是要在今天竞争激烈的市场上生存,需要的是能够提供更高性能和更低价格的基于PC的现代化操作系统及有商业价值的高速高分辨率信号采集卡。
在讨论高速高分辨率信号采集卡在探伤中所扮演的角色之前,先来回顾一下整个系统。商用宽带超声传感器是自动定位到被测试部件面前的,传感器和部件都放在水槽里。在反射模式下工作的传感器发射并检测超声波。接收到超声脉冲发生器/接收器的激励后,传感器忽略部分带宽的超声能量脉冲,并接收从被测部件反射的回波。
在连续超声记录的提取中,通过GPIB旁路链接控制的自动定位臂,以0.1mm步进移动传感器。该臂通过一个前后都类似光栅图案的500mm×500mm矩形网进行扫描,并有快速轴和直交的慢速轴。当传感器正在沿着快速轴平移时,定位系统以大约1ms/步的致密步伐尾随传送器。
定位控制器装备了输出位置的脉冲发生器,这是一个用在很多超声波定位控制器上的标准件。一旦确定了目标位置,控制器就产生一个TTL脉冲。这个脉冲经常用来使超声波脉冲发生器/按收器发出一个激励。这样,超声检查只在目标位置自动进行。
在传感器接收到激励以后,相应的超声波回波序列需要返回,因此超声波必须穿过约一米长的水路。超声激励后,用一个可编程延迟器创建一个持续大约700μs的TTL激励。这个脉冲触发高速高分辨率信号采集卡。而超声波回波序列持续大约100μs。

2 高速高分辨率信号采集卡的角色
嵌入式高速高分辨率信号采集卡用来捕获来自传感器电子设备的超声波信号。系统合并两种信号:来自传感器的中心频率达10MHz的信号和10MS/s的取样超声信号。这个采样率可达每信号周期10点,回波时间允许极好的分辨率。为了探测到尽可能小的裂隙回波,需要尽可能大的动态范围和垂直分辨率。
Gage CompuScope 14100是一款PCI总线、基于PC系统的高速高分辨率信号采集卡。该卡提供100MHz的采样率及50MHz的模拟输入带宽,以满足超声传感器的需求。图1是该系统的原理图。
来自超声脉冲发生/接收器的±1V信号输出直接连到数字卡的BNC输入端。高速高分辨率信号采集卡的输入阻抗为50Ω且可编程。它提供与BNC线50Ω阻抗相适应的输入终端,并可消除多重信号反射产生的失真。延迟器的输出被连接到高速高分辨率信号采集卡的BNC外围触发输入。
高速高分辨率信号采集卡提供14bit的采集精度。因此探测到的裂隙回波是任意小的,所以高采样精度在超声无损探测中势在必行。
图2给出一个来自叠片钢部件的真实超声信号。由图中可以看出零件前壁反射了较大的回波,后面跟着较小的回波,说明表面之后就是裂隙。裂隙回波和前壁回波之间的时间差与裂隙的深度之间有如下的关系:
Depth=vΔt/2
其中,Δt为前壁回波与裂隙回波的时间差,v为超声波在钢中的波速。
超声扫描的目的是在整个扫描中确定Δt,并给制一张标志整个部件上裂隙深度的分布图。
跟踪回波的振幅随裂隙的尺寸而增加。全部超声波信号的振幅由脉冲发生/接收器增益进行调整。这样前壁回波几乎充满高速高分辨率信号采集卡的输入范围,本例中是±V。
结果是,在前壁回波不发生削顶失真的前提下,裂隙回波无法进一步放大。图3给出了图2中裂隙回波放大后的图像,上面的波形是8位分辩率,下面的是14位分辨率。
裂隙回波的振幅仅约为前壁回波振幅的1%。8位的高速分辨率信号采集卡将输入范围分隔成2 8=256个不同的等级。这说明图3中阶梯一般的回波只相差2~级。此外,该回波波形严重失真,如果它小一点,则根本就不会被探测到。

    相比之下,14位的高速高分辨率信号采集卡将输入范围分割成2 14=16384个不同的等级,裂隙回波跨越150个等级。如图3所示,14位高速高分辨率信号采集卡的高分辨率重现了裂隙回波的形状和位置。即使回波与背景噪声可作比较,其时延Δt依然可以靠数字相关分析来提取。很明显,高分辨率在裂隙回波的探测中至关重要。
在沿快速轴的线性扫描中,超声触发器分布在规整的1kHz比率。高速高分辨率信号采集卡严禁漏掉任何这些触发,否则捕获的波形和传感器位置之间就不相关了。
沿快速轴的线性扫描需要(500mm/0.1mm)/1kHz=5s。下次快速轴扫描的开始是程控;然而,因为商业上的原因,慢速轴的重新定位需要至少0.5s。
14位的高速高分辨率信号采集卡可以通过PCI总线以100MB/s的稳定速率传输数据。因此,高速高分辨率信号采集卡可以捕获50μs的超声波且通过PCI总线将其送入内存,以备下次1kHz的触发。
14位高速高分辨率信号采集卡在诸如MSDOS这类单任务操作系统下就可以满足此性能基准。
3 采集深度
由于多任务的Windows并非一个实时操作系统,因而,处理某一任务过程中被Windows服务其他任务所占用的时间量是不确定的。这意味着Windows下没有备用的波形捕获能力可供担保。在系统的快速扫描中,可靠的波形捕获能力是非常重要的,不能漏掉任何一个触发。
此问题的解决方案就是超深的板载采集缓存。在多重记录模式下操作数据转换器,成功提取的波形数据堆叠在板载采集缓存中。在提取间隙,数据转换器通过硬件进行重整,无需CPU干涉。因此,一旦开始,多记录模式就不会对多任务模式的Windows环境做出让步。
高速高分辨率信号采集卡要求足够的板载采集深度,用来保持快速轴扫描的全部数据。要确定所需内存的大小,就必须计算在单通道100μs的超声记录采样所需数目:
记录长度=100μs×100MS/s
=10,000S=10kS
由于位置步进长度是0.1mm而快速轴的长度是500mm,所以在线性快速轴扫描中共有5000个步进位置。每一步高速高分辨率信号采集卡都必须捕获一个10,000S的纪录。因此,板载采集深度至少需要。
5,000records×10,000 s/record=50,000,000S。
即需要板载采集深度高达1GS的高速高分辨率信号采集卡,才能适应需求。

    在连续的快速扫描间隔,系统将把数据从上次快速轴扫描装载到PC内存。高速高分辨率信号采集卡可以利用一种被称作PCI总线控制的技术通过PCI总线传输数据。这种方法在数据传输过程中无需CPU的干预,此外,高速高分辨率信号采集卡的持续传输速率可以高达100MB/s。因为每次14位采样点用2个字节,所以一次快速轴扫描的全部数据传输至少需要。
2 B/S×50,000,000 S/(100MB/s)=1s。
这样,数据传输不会严重耽搁下次快速轴扫描的数据,因为系统已经要求了0.5s的时间用于机械稳定性恢复。如果数据传输过程暂时被Windows打断,传输时间将略微增加,但是不会丢失数据,而且一旦恢复,传输过程仅需从被打断的地方继续。
Windows2000的任务是在C语言环境下的SDK开发的,该工具提供了便捷易用的例程以满足新开始定制的Windows任务。
因为本高速高分辨率信号采集卡是即插即用的PCI设备,底层硬件细节由Windows操纵,所以不需要任务底层硬件编程。Windows程序启动位置马达、控制扫描被测部件,然后调用C语言子程序,从高速高分辨率信号采集卡提取、传输数据。
基于高性能PC的高速高分辨率信号采集卡可以提供高采样速度、高垂直分辨率、深采集深度以及快速的数据传输,使得构筑自动化、低成本的无损探测系统成为可能。
时间:  2006-7-7 12:49
作者: qqqq


高速差分ADC驱动放大器AD8137及其应用


  
    AD8137是ADI公司推出的轨对轨输出低成本全差分高速放大器,它具有低噪声、低失真和宽动态范围,可用于驱动12位ADC,非常适用于要求低成本和低功耗的系统。AD8137采用ADI公司新一代的XFCB双极型制造工艺,内部的共模反馈结构使之可以通过施加于一个引脚上的电压来控制其输出的共模电压。AD8137内部的反馈环可实现平衡输出,同时还可以抑制偶次谐波失真。利用AD8137很容易实现全差分和单端-差分结构,在典型连接下,四只电阻器组成的外部反馈网络可决定放大器的闭环增益,这一点使其具有极大的灵活性。

1 AD8137的主要特点
AD8137具有以下主要特点:
·全差分;
·外部增益可调;
·输出共模电压可调;
·输入偏移电压和电流很低;
·具有110MHz的大信号带宽和450V/μs的转换速率;
·轨对轨输出;
·电源电压低且具有掉电特性;
·5V供电时的静态电流只有2.6mA,待机模式时为450μA;
·全差分和单端-差分两种工作方式;
·电源电压范围为3V~12V。
图1是AD8137的8脚SOIC封装图。
2 器件工作原理

AD8137含内部共模参考电压的共模电路以及偏置关断电路,其原理框图如图2所示。AD8137的输入跨导部分是一个H桥,它的输出电流镜像到高阻结点CP和CN。输出部分是传统的H桥驱动电路,带着普通的发射装置驱动结点+OUT和-OUT。
AD8137采用两个反馈环来分别控制差模和共模反馈。它的差模增益由外部电阻决定,这与传统放大器一样。而输出共模电压则由一个受外部Vocm输入控制的内部反馈环决定。这样的结构使其可以很容易地任意设定输出的共模电压,而不影响放大器的差模增益。
在共模反馈环中,共模反馈放大器ACM用来对输出共模电压进行采样,然后通过负反馈迫使输出的共模电压等于VOCM引脚输入的电压。也就是说,在反馈环的作用下,输出共模电压向Vocm处的输入电压变化。通常情况下,内部偏置发生器设定Vocm的值约为电源电压的一半,因此,在Vocm输入浮置时,输出共模电压约为电源电压的一半。由于内部偏置发生器的源阻抗比较大,因此,如有输出阻抗相对较小的外部电源电压,那么,它将代替Vocm输入到AD8137上。

3 AD8137的应用
图3是AD8137的一个典型连接电路,该电路采用外部RF/RG匹配网络。其差分输入端VAP和VAN作为求和点,加到VOCM端的外部参考电压可决定输出共模电压。同时,两个输出端以平衡方式输出的VOP和VON与其输入信号相对应。
应当说明的是,在一些单端-差分转换的应用中,如果采用单电源电压供电,设计时必须注意放大器输入端上共模电压VACM的动态范围。
此外,当AD8137输入电路呈现的输入阻抗与终端电阻器串联时,必须考虑它的负载效应,即单端输入时的阻抗匹配问题。





通信人家园 (https://www.txrjy.com/) Powered by C114